乒乓球比赛游戏机

乒乓球比赛游戏机

ID:15074960

大小:340.00 KB

页数:13页

时间:2018-08-01

乒乓球比赛游戏机_第1页
乒乓球比赛游戏机_第2页
乒乓球比赛游戏机_第3页
乒乓球比赛游戏机_第4页
乒乓球比赛游戏机_第5页
资源描述:

《乒乓球比赛游戏机》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、东北石油大学课程设计课程EDA技术课程设计题目乒乓球比赛游戏机院系电子科学学院专业班级电子信息工程学生姓名邹小东学生学号070901140121指导教师2011年3月11日东北石油大学课程设计任务书课程EDA技术课程设计题目乒乓球比赛游戏机专业电子信息工程姓名邹小东学号070901140121主要内容、基本要求、主要参考资料等主要内容:设计一个乒乓球游戏机,比赛由甲乙双方和裁判3人构成,能模拟比赛的基本过程和规则并能自动裁判和记分。基本要求:1、设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。

2、2、用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。3、当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。4、设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。

3、5、甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。主要参考资料:[1]潘松著.EDA技术实用教程(第二版).北京:科学出版社,2005.[2]康华光主编.电子技术基础模拟部分.北京:高教出版社,2006.[3]阎石主编.数字电子技术基础.北京:高教出版社,2003.完成期限2011.3.11指导教师专业负责人2011年3月7日一、总体设计思想1.基本原理用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LE

4、D指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。2.设计

5、框图1.1设计流程图2二、设计步骤和调试过程1、总体设计电路用8个发光二极管代表乒乓球台,在游戏机的两侧设置两个开关,一个是发球开关,一个是击球开关,甲方按动发球开关时,靠近甲方的第一盏灯亮,然后发光二极管由甲向乙依次点亮,代表乒乓球在移动。当球过网后,乙方就可击球。若乙方提前击球或没击中球,则判乙方失分,甲方自动加分,重新发球比赛继续进行到一方记分到21分,该局结束,记分牌清零,可以开始新的一局比赛。使用VHDL进行电路系统设计,利用PLD来实现控制器的硬件电路1.2乒乓游戏机的电路框图2、模块

6、设计和相应模块程序系统各功能模块的实现1、模块SEL的实现libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;2entityselisport(clk:instd_logic;sell:outstd_logic_vector(2downto0));endsel;architecturesel_arcofselisbeginprocess(clk)variabletmp:std_logic_vector(2dow

7、nto0);beginif(clk'eventandclk='1')thenif(tmp="000")thentmp:="001";elsiftmp="001"thentmp:="100";elsiftmp="100"thentmp:="101";elsiftmp="101"thentmp:="000";endif;endif;sell<=tmp;endprocess;endsel_arc;2、模块CORNA的实现LIBRARYIEEE;useieee.std_logic_1164.all;use

8、ieee.std_logic_unsigned.all;entitycomaisport(clr,af,aj,bf,bj,clk:instd_logic;shift:outstd_logic_vector(7downto0);ah,al,bh,bl:outstd_logic_vector(3downto0));endcoma;architecturecom_arcofcomaissignalamark,bmark:integer;beginprocess(clr,clk)varia

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。