电子课程设计报告(完结)

电子课程设计报告(完结)

ID:18608168

大小:8.11 MB

页数:21页

时间:2018-09-19

电子课程设计报告(完结)_第1页
电子课程设计报告(完结)_第2页
电子课程设计报告(完结)_第3页
电子课程设计报告(完结)_第4页
电子课程设计报告(完结)_第5页
资源描述:

《电子课程设计报告(完结)》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、信息科学与技术学院本科二年级电子技术课程设计报告专业:自动化(交通信息工程及工程)班级:二班姓名:刘帅赵银辉王晓斌学号:2012250002012248320122500指导教师:缪英武实习时间:2014年7月10日——2014年7月23日21一、背景社会的不断发展,使得安全问题日显重要,安全的锁能使人们感到安心。随着人们对锁的要求越来越高,既要求安全可靠的防盗,又要使用方便。子弹锁由于结构上的局限,已难以满足当前社会管理和防盗要求。特别是在人员需要经常变动的场所,如办公室、宾馆等地方。电子锁在安全技术防范领域,具有防盗报警功能的电子密码锁代替传统的机械式密码锁,

2、克服了机械式密码锁密码减少、安全性能差的缺点,使密码锁无论在技术上还是在性能上都大大高一步。本课题要求设计一个用verilog语言编写的数字电子密码锁系统,利用EDA技术和verilog语言完成系统设计描述,经过编译、综合和下载,给出了仿真测试结果,选定元器件、安装、调试硬件电路。本文采用先进的EDA技术,和verilog语言,设计了一种新型的智能密码锁。该锁有密码设置、修改密码和错误报警等功能,用一片FPGA芯片实现,从而大大的简化了系统的结构,降低了成本,提高了系统的保密性和可靠性,采用这种器件开发的数字系统,其升级和改进极其方便。二、数字密码锁设计1、设计任

3、务:用FPGA设计并制作一个数字密码锁控制电路2、设计要求:(1)基本功能电路具有初始密码,密码为4为十进制数。开锁时,先按“开锁“键,然后输入数字,当按下“确定”键时,如果与预先设定的密码相符,则给出开锁指示信息;当密码不符是,给出不开锁指示信息。用户需要修改密码锁,应先开锁,再按“设密码”键,然后通过键盘输入新的密码,最后按“确定”键完成。(2)扩展功能如果输入的面貌不符次数达到3次,则给出报警指示(例如声光报警),持续一段时间过后停止报警。(3)也可以自行设计其他扩展功能。3、方案设计:FPGA主要实现以下功能:分频处理21、输入数字译码、密码比较。移位处理

4、、密码设置、显示状态输出、报警等控制功能,本设计共三个模块,包括:分频模块、输入处理控制模块、显示模块、共有15个按键,包括0到9,10个数字输入键和5个功能键(分别为开锁常识check、回删back键、状态消零close键、密码设置sdt键、电源键)。按动电源键可使密码锁进入或退出可输入状态,进入可输入状态后,每按一次数字键就可输入以为数字,并可左移显示在数码管中,按back键可对输入的数字进行回删。输入四位数字后按check键可使输入数字与初始密码进行比较,若比较正确给出开锁成功信号(绿色发光二极管亮),若不正确则给出开锁失败信号(红色发光二极管亮)。当在开锁

5、成功的状态下,输入任意数字并按set键即可使当前输入显示的数字设置成为新的密码,再按close键即可关锁。而当连续输入三次错误密码,蜂鸣器就会发出警报声,只有按电源键才可使警报声停止,当电源再次开启是,蜂鸣器仍会继续报警,提示主人密码锁被别人多次尝试打开,以提高主人的警惕。密码锁内置有一万能密码,防止多次修改密码后忘记新的密码后不得开锁。万能密码固定在芯片程序中,对芯片重新修改也可修改万能密码。键入FPGA报警密码修改译码显示三、程序设计整体程序的RTL级图如下,自左到右分别为密码设置模块、比较模块,输入输出模块、分频模块、显示模块。21整体可生成如下器件:以下是

6、各个小模块:1、分频模块:FPGA内有自带的50MHZ的时钟信号,引脚好为P17。对它进行分频,本模块分出了isge频率可供挑选使用,发现时钟clk_d4可分为符合按键输入及显示和报警使用。输入端ce作为使能端,可控制分频模块是否工作,若进行工作则输输出端t为高电平,t接信号灯(黄色发光二极管)显示ce的状态。如下图:2输入输出模块:接受分频模块送来的时钟信号,同时可接受来自数字键的输入,并进行译码处理,转化为输出变量outdata提供给显示模块再进行译码显示。主控模块还实现了数字回删,密码比较,设置密码,错误计数,蜂鸣报警等功能,并给出相应的状态信号输出,可

7、从下图对端口的命名看粗其功能。如下图:213、密码设置模块4、显示模块:主要功能是接收主控模块送来的outdata数据,进行译码显示,本模块需要的时钟是clk_d2.。如下图:5、比较模块21对以上各模块,可以参看入炉的verilog代码对应解读,即可了解其实现的机制本代码思路较为清晰易懂。下面是密码锁的流程图:接通电源上锁?Y上锁状态START?输入密码Check?YN出错指示Set输入新密码并保存确定?NNYNNYY待锁状态NN正确?计数>=3报警四、电路设计1、FPGA介绍FPGA(Field---ProgrammableGateArray),即现场可编程门

8、阵列,它是

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。