基于fpga的数字下变频的设计与实现

基于fpga的数字下变频的设计与实现

ID:21925043

大小:60.00 KB

页数:8页

时间:2018-10-25

基于fpga的数字下变频的设计与实现_第1页
基于fpga的数字下变频的设计与实现_第2页
基于fpga的数字下变频的设计与实现_第3页
基于fpga的数字下变频的设计与实现_第4页
基于fpga的数字下变频的设计与实现_第5页
资源描述:

《基于fpga的数字下变频的设计与实现》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、基于FPGA的数字下变频的设计与实现:为解决专用数字下变频芯片价格昂贵、灵活性不强的问题,研究了如何基于FPGA实现数字下变频的功能,本设计结合硬件资源从数字下变频的系统各模块的主要功能以及彼此间的性能制约上考虑,先通过MATLAB仿真选择合适的参数,然后在Xilinx公司ISES.2开发环境下,使用Verifog语言编程实现。最后对基于FPGA实现的数字下变频系统调用Modelsim进行仿真测试,验证了设计的正确性。关键词:软件无线电;数字下变频;Xilinx;数字滤波器Abstract:ForsolvingtheproblemofspecificDDCise

2、xpensiveandflexibilityisnotstrong,ajorfunctionsofDDCsystemandperformancerestrictioninbetetersbyMATLABsimulation.thenundertheISE8.2developmentenvironmentofXilinx,theDDCsystemisdesignandimplementbyveriloghardinFPGA.Finally,ProvetheexactnessofDigitalDobyModelsimsimulationtesting.Keyeric

3、allyControlledOscillatorNCO)和抽取滤波三部分组成,图1所示是一个数字下变频器的结构原理框图[2]。图中,NCO可将产生的正交本振信号输入到数字混频器,以与A/D采样得到的数字信号进行混频,经混频后的信号再输出到抽取滤波器以滤除倍频分量和带外信号,并进行抽取处理。抽取滤波器可采取积分梳状滤波器(CIC)、半带(HB)滤波器和FIR滤波器级联的方式来实现。图1数字下变频系统结构框图3数字下变频基于FPGA的设计与实现本设计选用Xilinx公司的SpartanXC3S400的FPGA开发系统,结合MATLAB预先对滤波器的指标进行仿真,然后

4、在Xilinx公司的集成开发环境ISE8.2中进行Verilog语言编程,并结合Mentor的子公司ModelTech出品的Modelsim进行仿真和验证。3.1数控本振的设计目前主要的下变频方法有以下四种[3]:(1)查表法产生正余弦波样本值,然后混频。(2)IIR振荡器产生数字化正余弦函数,然后混频。(3)采用流水线技术的坐标旋转数字式计算机(CORDIC)算法。(4)重采样。实际中用得最多的还是(1),(3)两种方法。在采样率很高的情况下,产生正弦波采样最简单有效的方法是查表法,即把各个相位的正弦值事先计算好存储在ROM中,然后按相位做地址查表得到正弦波采

5、样。NCO由相位累加器、相位加法器和正弦表只读存储器三部分组成。NCO的工作原理:每一个时钟脉冲,利用相位累加器使相位在原来的基础上加一个相位增加量即频率控制字,再利用相位加法器加上初始相位即相位偏移,最后用相位值作为正弦表的地址,查出正弦值。每当累加器溢出时就产生一个新的循环,累加器完成一个循环的时间就是正弦波形的周期[4]。图2NCO功能方框图3.2CIC滤波器的FPGA设计由以上NCO的设计可知,混频部分非常容易实现,关键部分在于滤波器的实现。CIC滤波器的系统结构主要由积分器与微分器两部分级联而成。积分器的实现,从结构图可以看出,由一个加法器跟延迟器组成

6、,延迟器的实现在FPGA中由一级寄存器实现,加法器在FPGA中由一些组合逻辑实现(在综合时可以进行优化,占用资源并不太大);微分器由一个减法器跟延迟器组成,其FPGA实现跟积分器一样。在使用级联CIC滤波器时,系统N级级联后增益,因此在FPGA实现时,每一级必须包含足够的精度,也就是位扩展,即每一级所使用的运算有效位数都将比前一级要多[4]。CIC抽取滤波器每一级输出所需要的精度:(3.1)由式(3.1)得出每一级输出的数据精度,设计中都采用合理的精度即可以保证最后输出无失真。由于积分器部分是不稳定系统的缘故,所以会有增益,并且随着级数的增多和抽取因子的加大,增

7、益也越大,所以在设计时必须注意中间寄存器位宽的选择[5],由式(3.1)经计算,中间寄存器的位宽应取为23位,设计中寄存器实际扩展为25位。设计采用CIC滤波器5级级联,滤波器截止频率为0.025fs,旁瓣抑制67.3dB。抽取倍数D=8,采样频率为开发板上晶振提供的50MHz。由于CIC放在第一级,势必要求处理速度非常高,但CIC滤波器5级级联就会增加关键路径的长度,有可能造成在输出时采样保持时间不足,造成亚稳态[5]。因此,为了避免这种现象,在FPGA实现时,每级都打一流水线(即加一级寄存器)。在每一级间增加一个延迟单元,梳状滤波器的传递函数变为:(3.2)

8、(3.3)可见在梳状级间

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。