数字逻辑电路设计1

数字逻辑电路设计1

ID:22670152

大小:631.61 KB

页数:13页

时间:2018-10-30

数字逻辑电路设计1_第1页
数字逻辑电路设计1_第2页
数字逻辑电路设计1_第3页
数字逻辑电路设计1_第4页
数字逻辑电路设计1_第5页
资源描述:

《数字逻辑电路设计1》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、数字逻辑电路和CPLD设计学号:桌号:时间:红绿灯交通管理器一、设计要求:设计十字路口的交通管理器,使其控制甲乙垂直的红黄绿三色灯显示和各自显示时间,指挥车辆安全通行,用VHDL语言描述各模块并画出方框图。、设计内容:该设计采用分层描述方法,以图形输入和原理输入混合方式建立VHDL描述文件。交通管理器顶层图形输入文件右控制器和三个各位模26、模70、模5的定时器组成,分别控制两个道口的通行时间和停车时间,系统巾两组红黄绿指示灯和三组七段显示器作倒计时显示,巾BCD码驱动,控制器按照流程图中状态的变化來控制红黄绿灯的变换以及计数器的计时:当某个计数器工作技术信号Wl、

2、W2或W3,由“0”转为“1”时,表示计满,控制器转向下一个状态并计时,各定时器连接控制器的Cl、C2或C3,当为“1”时,该计数器计时结束,整个系统循环互联。当计数器计数时,各个减法器同时做倒计时,输出接七段译码显示器做倒计时显示。各减法器具有置数控制端,当控制端为“1”时,预置26、5、70。可以将时钟信号和置数信号一同设为进程敏感信号,减法计数器的使能端也对应控制器的Cl、C2和C3开始倒计时。三、工作流程SO00甲道禁止,乙道通行Rl=lCl=lG2=l—►N甲道禁止,乙道停车W2=l?―►甲道通行,乙道禁止NW3=l?〉10—►甲道停车,乙道禁止NRl=l

3、C2=lY2=lGl=lC3=lR2=lYl=lC2=lR2=l图中Rl、Yl、G1分别为甲道红灯、黄灯、绿灯,R2、Y2、G2分别为乙道红灯、黄灯、绿灯,Cl、C2、C3为定时器工作信号。各模块VHDL语言描述及仿真波形1、模26加法计数器VHDL程序及仿真波形libraryieee;useieee.std_logic_1164.all;entitycount26isport(clk:instdjogic;enabie:instdjogic;c:outstdjogic);endcount26;architectureaofcount26isbeginprocess

4、(clk)variablecnt:integerrange26downto0;beginif(clk'eventandclk='l')thenifenable=Tandcnt<26thencnt:=cnt+l;elsecnt:=0;endif;endif;ifcnt=26thenC<=T;elsec<='0';endif;endprocess;enda;NameValue:20.531^-0elkenableA0A1cA13ps5.3^9s10.737s16.106s21.475s26.844s32.212五525nsHHHNmHHmNHHHHHNHHrHHNm2、

5、模5加法计数器VHDL程序及仿真波形libraryieee;useieee.std_logic_1164.all;entitycount05isport(clk:instdjogic;enable:instdjogic;c:outstdjogic);endcount05;architectureaofcount05isbeginprocess(clk)variablecnt:integerrange5downto0;beginif(clk'eventandclk='l')thenifenable=Tandcnt<26thencnt:=cnt+l;elsecnt:=0

6、;endif;endif;ifcnt=5thenc<:T;elsec<='0';endif;endprocess;enda;NameValue:20.531^0elkenablecA0A1A1u^l炒2〕ps5.369s1O.T

7、37s16.106ssi,s20.525nsiiHrrnNNrnNHNNn

8、3、模70加法计数器VHDL程序及仿真波形libraryjeee;useieee.std_logic_1164.all;entitycount70isport(clk:instdjogic;enable:instdjogic;c:outstdjogic);endco

9、unt70;architectureaofcount70isbeginprocess(clk)variablecnt:integerrange70downto0;beginif(clk'eventandclk='l')thenifenable='l,andcnt<70thencnt:=cnt+l;elsecnt:=0;endif;endif;ifcnt=70thenc<=T;elsec<='0*;endif;endprocess;enda;4、模26减法计数器VHDL程序及仿真波形libraryjeee;useieee.std_logic_1164.all;us

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。