eda出租车计费器.doc

eda出租车计费器.doc

ID:2520917

大小:396.00 KB

页数:21页

时间:2017-11-16

eda出租车计费器.doc_第1页
eda出租车计费器.doc_第2页
eda出租车计费器.doc_第3页
eda出租车计费器.doc_第4页
eda出租车计费器.doc_第5页
资源描述:

《eda出租车计费器.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、湖南人文科技学院课程设计报告课程名称:VHDL语言与EDA课程设计设计题目:出租车计费器系别:通信与控制工程系专业:电子信息工程班级:学生姓名:学号:起止日期:指导教师:教研室主任:17指导教师评语:指导教师签名:年月日成绩评定项目权重成绩1、设计过程中出勤、学习态度等方面0.22、课程设计质量与答辩0.53、设计报告书写及图纸规范程度0.3总成绩教研室审核意见:教研室主任签字:年月日教学系审核意见:主任签字:年月日17摘要本文介绍了一种采用单片FPGA芯片进行出租车计费器的设计方法,主要阐述如何使用新兴的EDA器件

2、取代传统的电子设计方法,利用FPGA的可编程性,简洁而又多变的设计方法,缩短了研发周期,同时使出租车计费器体积更小功能更强大。本设计实现了出租车计费器所需的一些基本功能,计费包括起步价、行车里程计费、等待时间计费,同时考虑到出租车行业的一些特殊性,更注重了把一些新的思路加入到设计中。主要包括采用了FPGA芯片,使用VHDL语言进行编程,使其具有了更强的移植性,更加利于产品升级。关键词:VHDL;计费器;QuartusⅡ;FPGA17目录设计要求11、方案论证与对比11.1、方案一11.2、方案二21.3、方案对比与选

3、择32、主要模块设计32.1、速度模块32.2、计程模块32.3、计时模块42.4、计费模块42.5、整体框图53、仿真结果53.1、速度模块仿真53.2计程模块仿真63.3计时模块仿真63.4计费模块仿真73.5、整体仿真74、整体电路图85、引脚锁定及测试86、致谢97、详细仪器清单10参考文献11附录1217出租车计费器设计要求计费标准为武汉起步3元,车行3公里后为1.4元/公里,当计费达到20元后,每公里加收50%的车费,车停止每3分钟增加0.7元。车费显示出来,有一位小数。1、方案论证与对比1.1、方案一运

4、算控制器分频器时钟信号按键控制LED状态显示七段译码器数码管显示计时信号计费信号序列计程信号3/8译码器分频模块控制计数模块显示模块如图1所示,方案一包含运算控制模块、分频器、显示模块这三大部分。图1方案一系统框图运算控制器模块将其他两个进行连接,是本次设计的核心。它包含计程器、计时器、计价器,同时还有读取外部的拨码开关控制信号,提供当前显示信号与显示位选信号,从而周期性交替显示计价/计时信息、等时信息。计程器、计时器、计价器根据位选信号,输出相应数据的当前选中数字,由顶层模块根据显示数据类型变换信号进行选择,传输给

5、显示模块。实验箱的时钟信号为20MHz方波,由分频器分为10Hz的计时信号17(一个周期代表现实中的1s)、10Hz的计程信号(一个周期代表现实中的10m)、500Hz的数码管扫描信号(扫描频率62.5Hz)、0.2Hz的显示数据类型变换信号(变化周期5s)。显示模块由实验箱上的3/8译码器、五个LED灯构成状态的指示灯、程序编写的一个七段数码管译码器(因显示需要,原有字形“E”征用改为“—”,字形"F"改为“”)组成。1.2、方案二方案二流程介绍:分析系统设计要求不难得知,整个出租车计费系统按功能主要分为速度模块、

6、计程模块、计时模块和计费模块,其系统结构图如图2所示。图2方案二系统结构图系统接收到reset信号后,总费用变为3元,同时其他计数器、寄存器等全部清零。系统接收到start信号后,首先把部分寄存器赋值,总费用不变,单价price寄存器通过对总费用的判断后赋为3元。其他寄存器和计数器等继续保持为0。速度模块:通过对速度信号sp的判断,决定变量kinside的值。Kinside即是行进100m所需要的时钟周期数,然后每行进100m,则产生一个脉冲clkout。计程模块:由于一个clkout信号代表行进100m,故通过对c

7、lkout计数,可以获得共行进的距离kmcount。计时模块:在汽车启动后,当遇到顾客等人或红灯时,出租车采用计时收费的方式。通过对速度信号sp的判断决定是否开始记录时间。当sp=0时,开始记录时间。当时间达到足够长时产生timecount脉冲,并重新计时。一个timecount脉冲相当于等待的时间达到了时间计费的长度。这里选择系统时钟频率为500Hz,180s即计数值为9000。17计费模块由两个进程组成。其中,一个进程根据条件对enable和price赋值:当记录的距离达到3公里后enable变为1,开始进行每公

8、里收费,当总费用大于20元后,则单价price由原来的1.4元每公里变成2.1元每公里;第二个进程在每个时钟周期判断timeout和clkout的值。当其为1时,则在总费用上加上相应的费用。1.3、方案对比与选择方案一和方案二虽然基本上都能实现本次课程设计的要求,但方案一通过分频器分成的数个输入信号来进行出租车计费器的仿真,使系统变得复杂且对费

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。