带复位和使能功能的十进制计数器.docx

带复位和使能功能的十进制计数器.docx

ID:28723956

大小:943.11 KB

页数:7页

时间:2018-12-13

带复位和使能功能的十进制计数器.docx_第1页
带复位和使能功能的十进制计数器.docx_第2页
带复位和使能功能的十进制计数器.docx_第3页
带复位和使能功能的十进制计数器.docx_第4页
带复位和使能功能的十进制计数器.docx_第5页
资源描述:

《带复位和使能功能的十进制计数器.docx》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、电子设计自动化实验报告班级:0290801学号:08320210姓名:曹灿华带复位和时能功能的计数器一、工作原理通过设置复位按钮RST和使能按钮EN来控制计数器的工作。当使能端EN是高电位时,按下RST按钮,计数器便复位并对时钟信号CLK进行计数,当计数超过10时便又开始从0计数;当按下使能按钮EN时,其电位变位低电平,使计数器停止工作。二、实验步骤2.1.1建立工作库文件和编辑设计文件首先建立工作库目录,以便存储工程项目设计文件任何一项设计都是一项工程,必须首先为此工程建立一个放置与此工程相关的

2、所有设计文件和文件夹。此文件夹将被EDA软件默认为工作库。一般来说,不同的设计项目最好放在不同的文件中,而同一工程的所有文件都必须放在同一文件夹中(1)新建一个文件夹。首先利用Windows资源管理器,建立一个文件夹,存储的目录为D:Downloadaltera_9.0caocanhua_EDA.(2)输入源程序。打开QuartusII(如图1),选择菜单File→New.在New窗口中的DeviceDesignFiles中选择编辑文件的语言类型,这里选择VHDLFile,然后在VHDL文本

3、编辑窗中输入下列程序1如图2图1程序1:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT10ISPORT(CLK,RSTEN:INSTD_LOGIC;CQ:OUTSTD_LOGIC_VECTOR(3DOWNTO0);COUT:OUTSTD_LOGIC);ENDCNT10;ARCHITECTUREbehavOFCNT10ISBEGINPROCESS(CLK,RSTEN)VARIABLECQI

4、:STD_LOGIC_VECTOR(3DOWNTO0);BEGINIFRST='1'THENCQI:=(OTHERS=>'0');ELSIFCLK'EVENTANDCLK='1'THENIFEN='1'THENIFCQI<9THENCQI:=CQI+1;ELSECQI:=(OTHERS=>'0');ENDIF;ENDIF;ENDIF;IFCQI=9THENCOUT<='1';ELSECOUT<='0';ENDIF;CQ<=CQI;ENDPROCESS;ENDbehav;图22.1.2创建工程使用N

5、ewProjectWizard可以为工程指定工作内容、分配工程名称以及指定最高层设计实体的名称,还可以指定要在工程中使用的设计文件、其他原文件、用户库和EDA工具,以及目标器件系列和具体器件等。在此利用NewProjectWizard工具选项创建此设计工程,即令最高层为工程,并设定此工程的一些相关信息,如工程名、目标器件、综合器,此步骤方图略。2.1.3编译前设置在对工程进行编译处理前,必须做必要的设置。具体的步骤这里就不再详述。2.1.4编译点击Processing中的ComplierTool(

6、如图3),点击Start进行编译,编译结果(如图4)图3图42.1.5时序仿真在工程编译通过后,必须对其功能和时序的性质进行仿真测试,以了解其结果是否满足原设计的要求,步骤如下:(1)打开波形编辑器。选择File中的New项,在New窗口中选择Verification/DebuggingFiles中的VectorWaveformFile,单击OK按钮,即出现波形编辑器(如图5)图5(2)设置仿真时间区域。对于时序仿真来说,仿真时间轴设置在一个合理的时间区域上十分的重要,此次设置为60us。在Edi

7、t菜单中选择EndTime想,在淡出窗口中的Time栏处输入60,单位为us,单击OK按钮,结束设置。(3)波形文件存盘。选择File中的SaveAs项,将以默认名为CNT10.vwf的波形文件存入D:Downloadaltera_9.0caocanhua_EDA文件夹中。(4)将工程CNT10的端口号名选入波形编辑器中。方法是选择View菜单中的UtilityWindows项的NodeFinder选项。弹出的对话框如图6所示,在Filer选Pins:all(通常默认选此项),然后单击Lis

8、t按钮,于是在下方的NodesFound窗口中出现设计的CNT10工程的所有端口引脚名(如图6)。然后将重要的端口名CLK、RST、EN、CQ、COUT分别拖到波形编辑器中,结束后关闭的NodesFound窗口。(5)编辑输入波形。单击时钟信号名CLK使之变成蓝色条,再单击时钟设置键,在Clock窗口中设置CLK时钟信号。(6)启动仿真器。现在所有的设置进行完毕后,在菜单Processing项下选择StartSimulation,直到出现Simulationwassuccessfu

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。