课程设计--智能小车循迹控制系统

课程设计--智能小车循迹控制系统

ID:30052949

大小:2.96 MB

页数:17页

时间:2018-12-26

课程设计--智能小车循迹控制系统_第1页
课程设计--智能小车循迹控制系统_第2页
课程设计--智能小车循迹控制系统_第3页
课程设计--智能小车循迹控制系统_第4页
课程设计--智能小车循迹控制系统_第5页
资源描述:

《课程设计--智能小车循迹控制系统》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、**大学能源与动力工程学院本科生课程设计题目:智能小车循迹控制系统课程:电子技术专业:测控技术与仪器班级:测控1101学号:**********姓名:****指导教师:****完成日期:2013年11月26日17目录1、任务及要求……………………………………………………………………32、整体方案及特点…………………………………………………………..43、各组成部分的电路结构及工作原理………………………………..44、系统硬件电路设计(各模块的硬件连接关系)……………..95、CPLD控制模块内各单元模块的设计……………………………

2、..106、CPLD控制模块的顶层电路图………………………………………..137、系统总装配图……………………………………………………………..148、实验结果分析……………………………………………………………..149、调试中出现问题的解决………………………………………………..1510、改进意见及收获体会…………………………………………………..1511、器件与器材………………………………………………………………..1612、使用仪器设备……………………………………………………………..1713、参考文献……………………………

3、……………………………………..1717一、任务及要求1、课程任务及其功能设计智能小车循迹控制系统(1)小车可完成前进、转向等行驶方式;(2)小车在底盘安装四组红外传感器;(3)系统根据红外传感器提供的信息测算小车与地面深色路径的偏离程度;(4)当小车偏离地面深色路径时自动以转小弯、转大弯的运动方式调整小车的行进轨迹,完成自动循迹的运动方式。2、设计要求(1)要求用可编程逻辑器件(FPGA/CPLD)设计实现;(2)在实验箱上或印刷电路板上安装、调试出所设计的电路;(3)在EDA编程实验系统上完成硬件系统的功能仿真;(4)写出设计

4、、调试、总结报告。3、课程设计的时间安排1)方案设计;(1.5天)根据设计任务书给定的技术指导和条件,进行调查研究、查阅参考文献,进行反复比较和可行性论证,确定出方案电路,画出主要单元电路,数据通道,输入、输出及重要控制信号概貌的框图。2)电路设计:(2天)根据方案设计框图,并画出各单元电路的详细电路图及总体电路图。3)电路仿真:(2.5天)熟悉EDA工具,在EDA软件平台上修改设计的电路,给出正确的仿真结果。4)装配图设计:(1天)根据给定的元器件,结合逻辑电路图,设计出电路制作的具体装配图(即绘出组件数量,管脚号以及器件布置的

5、实际位置)。同时配以必要的文字说明。5)电路制作:(2天)对选定的设计,按装配图进行装配,调试实验。6)总结鉴定:(1天)17考核样机是否全面达到现定的技术指标,能否长期可靠地工作,并写出设计总结报告。二、整体方案及特点1、智能小车循迹总体方案电源模块驱动模块CPLD控制模块左右寻迹信号直流电机红外寻迹模块2、设计的思路及其特点在智能寻迹小车控制系统的设计中,以CPLD为核心,用L298N驱动两个减速电机,当产生信号驱动小车前进时,是通过寻迹模块里的红外对管是否寻到黑线产生的电平信号通过电压比较器LM339返回到CPLD,然后CP

6、LD根据程序设计的要求做出相应的判断送给电机驱动模块,让小车来实现前进、左转、右转、停车等基本功能。三、各组成部分的电路结构及工作原理1、红外寻迹模块的设计(1)电路结构17发射部分接收部分(2)工作原理该系统中的寻线模块我们采用的是红外传感器。它有一个发射管(白色)和一个接收管(黑色),一般情况下接收管能收到发射管发送的红外光,但当遇到吸光介质(如黑色物体)时接收管便不能收到发射管的红外光。因为传感器输出端得到的是模拟电压信号,所以在输出端增加了电压比较器LM339,先将输出电压与2.5V进行比较(检测到黑线时输出低电平,发光二

7、极管不亮;检测到白线时输出高电平,发光二级管亮),再送给CPLD处理和控制。本设计中,为使小车寻线稳定,最好选择差异较大的环境,例如在白地板上贴上黑线,可使红外寻线模块工作更加灵敏稳定。172、电源模块的设计利用L298稳压管输出一路电压,供给单片机和电机驱动,还供给寻迹模块。L298N是SGS公司的产品,内部包含4通道逻辑驱动电路。是一种二相和四相电机的专用驱动器,即内含二个H桥的高电压大电流双全桥式驱动器,接收标准TTL逻辑电平信号,可驱动46V、2A以下的电机。3、CPLD控制模块设计此部分是整个小车运行的核心部件,起着控制

8、小车所有的运行状态作用。采用可编程逻辑期间CPLD作为控制器。CPLD可以实现各种复杂的逻辑功能、规模大、密度高、体积小、稳定性高、IO资源丰富、易于进行功能扩展。采用并行的输入输出方式,提高了系统的处理速度,适合作为大规模控制系统的控制核心1)正

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。