des算法使用vhdl硬件语言实现

des算法使用vhdl硬件语言实现

ID:30225748

大小:82.00 KB

页数:16页

时间:2018-12-28

des算法使用vhdl硬件语言实现_第1页
des算法使用vhdl硬件语言实现_第2页
des算法使用vhdl硬件语言实现_第3页
des算法使用vhdl硬件语言实现_第4页
des算法使用vhdl硬件语言实现_第5页
资源描述:

《des算法使用vhdl硬件语言实现》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实用标准文案libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;packagedes_libiscomponentdesport(clk:instd_logic;reset:instd_logic;encrypt:instd_logic;key_in:instd_logic_vector(55downto0);din:instd_logic_vector(63downto0);din_valid:instd_

2、logic;busy:bufferstd_logic;dout:outstd_logic_vector(63downto0);dout_valid:outstd_logic);endcomponent;componentdes_roundport(clk:instd_logic;reset:instd_logic;stall:instd_logic;encrypt_in:instd_logic;encrypt_shift:instd_logic_vector(4downto0);decrypt_shift:instd_logic_vector(4do

3、wnto0);key_in:instd_logic_vector(55downto0);din:instd_logic_vector(63downto0);din_valid:instd_logic;encrypt_out:outstd_logic;key_out:outstd_logic_vector(55downto0);dout:outstd_logic_vector(63downto0);dout_valid:outstd_logic);endcomponent;--Initalpermutationfunctiondes_ip(din:st

4、d_logic_vector(63downto0))returnstd_logic_vector;--Finalpermutationfunctiondes_fp(din:std_logic_vector(63downto0))returnstd_logic_vector;--Keypermutation,convertsa64bitkeyintoa56bitkey,ignoringparityfunctiondes_kp(din:std_logic_vector(63downto0))returnstd_logic_vector;--Compres

5、sionPermutation,convertsa56bitkeyintoa48bits.functiondes_cp(din:std_logic_vector(55downto0))returnstd_logic_vector;--Expansionpermutation精彩文档实用标准文案functiondes_ep(din:std_logic_vector(31downto0))returnstd_logic_vector;--S-BoxSubstitution,48bitsin,32bitsout.functiondes_sbox(din:s

6、td_logic_vector(47downto0))returnstd_logic_vector;--P-BoxPermutationfunctiondes_pbox(din:std_logic_vector(31downto0))returnstd_logic_vector;--KeyShiftfunctiondes_keyshift(din:std_logic_vector(55downto0);n:std_logic_vector(4downto0))returnstd_logic_vector;enddes_lib;------------

7、----------------------------------------------------------------libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;librarywork;usework.des_lib.all;packagebodydes_libis----------------------------------------------------------Inital

8、permutationfunctiondes_ip(din:std_logic_vector(63downt

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。