DES算法使用vhdl硬件语言实现.doc

DES算法使用vhdl硬件语言实现.doc

ID:57269905

大小:109.50 KB

页数:15页

时间:2020-08-08

DES算法使用vhdl硬件语言实现.doc_第1页
DES算法使用vhdl硬件语言实现.doc_第2页
DES算法使用vhdl硬件语言实现.doc_第3页
DES算法使用vhdl硬件语言实现.doc_第4页
DES算法使用vhdl硬件语言实现.doc_第5页
资源描述:

《DES算法使用vhdl硬件语言实现.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;packagedes_libiscomponentdesport(clk:instd_logic;reset:instd_logic;encrypt:instd_logic;key_in:instd_logic_vector(55downto0);din:instd_logic_vector(63downto0);din_valid:instd

2、_logic;busy:bufferstd_logic;dout:outstd_logic_vector(63downto0);dout_valid:outstd_logic);endcomponent;componentdes_roundport(clk:instd_logic;reset:instd_logic;stall:instd_logic;encrypt_in:instd_logic;encrypt_shift:instd_logic_vector(4downto0);decrypt_shift:instd_logic_ve

3、ctor(4downto0);key_in:instd_logic_vector(55downto0);din:instd_logic_vector(63downto0);din_valid:instd_logic;encrypt_out:outstd_logic;key_out:outstd_logic_vector(55downto0);dout:outstd_logic_vector(63downto0);dout_valid:outstd_logic);endcomponent;--Initalpermutationfuncti

4、ondes_ip(din:std_logic_vector(63downto0))returnstd_logic_vector;--Finalpermutationfunctiondes_fp(din:std_logic_vector(63downto0))returnstd_logic_vector;--Keypermutation,convertsa64bitkeyintoa56bitkey,ignoringparityfunctiondes_kp(din:std_logic_vector(63downto0))returnstd_

5、logic_vector;--CompressionPermutation,convertsa56bitkeyintoa48bits.functiondes_cp(din:std_logic_vector(55downto0))returnstd_logic_vector;--Expansionpermutationfunctiondes_ep(din:std_logic_vector(31downto0))returnstd_logic_vector;--S-BoxSubstitution,48bitsin,32bitsout.fun

6、ctiondes_sbox(din:std_logic_vector(47downto0))returnstd_logic_vector;--P-BoxPermutationfunctiondes_pbox(din:std_logic_vector(31downto0))returnstd_logic_vector;--KeyShiftfunctiondes_keyshift(din:std_logic_vector(55downto0);n:std_logic_vector(4downto0))returnstd_logic_vect

7、or;enddes_lib;----------------------------------------------------------------------------libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;librarywork;usework.des_lib.all;packagebodydes_libis-------------------------------

8、---------------------------Initalpermutationfunctiondes_ip(din:std_logic_vector(63downto0))returnstd_lo

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。