模电4位十进制加法器实验报告

模电4位十进制加法器实验报告

ID:30259143

大小:18.13 KB

页数:8页

时间:2018-12-28

模电4位十进制加法器实验报告_第1页
模电4位十进制加法器实验报告_第2页
模电4位十进制加法器实验报告_第3页
模电4位十进制加法器实验报告_第4页
模电4位十进制加法器实验报告_第5页
资源描述:

《模电4位十进制加法器实验报告》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划模电4位十进制加法器实验报告  硬件描述语言实验  题目:  四位全加器  学院数学与计算机学院学科门类xxxxxxxxxx专业xxxxxxxxxx学号姓名x指导教师xxxx  20xx年x月xx日  1、实验目的:练习VHDL语言设计工程的建立与仿真的步骤和方法、熟悉VHDL语言行为描述的编写方法。  2、实验环境:PC个人计算机、WindowsXP操作系统、QuartusII集成开发环境软件。3、实验要求:设计一个四位加法器,其引脚及其功能如下表。  4、实验步

2、骤:  (1)用RTL描述方式描述4位加法器  ①RTL描述方式是一种明确规定寄存器描述的方法,它要求在描述时要么采用寄存器硬件的一一对应的直接描述,要么采用寄存器之间的功能描述。RTL描述方式可以进行逻辑综合,这是其他描述方式所不具备的特点。②编辑代码目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  这种描述方法详细描述了加法器的计算过程,即写出了每一位的计算和进位方法。模块内部需要定

3、义三个连接线,定义语句为:signalc0,c1,c2:std_logic代码如下:libraryieee;  use_logic_;entityadder4is  port(a,b:instd_logic_vector(3downto0);ci:instd_logic;  s:outstd_logic_vector(3downto0);co:outstd_logic);endentity;  architecturertlofadder4issignalc0,c1,c2:std_logic;  begin  s(0)<=a(0)xorb(0)xorci;  c0<=(a(0

4、)andb(0))or(a(0)andci)or(b(0)andci);s(1)<=a(1)xorb(1)xorc0;  c1<=(a(1)andb(1))or(a(1)andc0)or(b(1)andc0);s(2)<=a(2)xorb(2)xorc1;  c2<=(a(2)andb(2))or(a(2)andc1)or(b(2)andc1);s(3)<=a(3)xorb(3)xorc2;目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展

5、,特制定安保从业人员的业务技能及个人素质的培训计划  co<=(a(3)andb(3))or(a(3)andc2)or(b(3)andc2);endarchitecturertl;③仿真结果  (2)用行为描述方式描述4位加法器  ①行为描述是对系统数学模型的描述,其抽象程度比寄存器传输描述方式和结构描述方式更高。在行为描述方式的程序中大量采用算术运算、关系运算等难以进行逻辑综合和不能进行逻辑综合的VHDL语句。②编辑代码  VHDL语言可以进行高层次抽象的行为描述,而不用描述硬件电路的具体逻辑关系。可以用  s<=a+b+ci;  来描述加法器,这种描述方法需要用到ieee

6、库中_logic_。  为了能够生成进位,四位加法器在内部应得到五位的和,因此两个加数也应扩充为五位。扩充字长可应用并置运算完成。如下语句将四位信号a在最高端并置一个’0’而成为五位信  号aa:  aa<=‘0’&a;  将五位信号ss的低四位赋值给四位信号s的语句如下:  s<=ss(3downto0);  和的最高位作为加法器的进位输出。代码如下:libraryieee;目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从

7、业人员的业务技能及个人素质的培训计划  use_logic_;use_logic_;entityadder4_2isport  (a,b:instd_logic_vector(3downto0);ci:instd_logic;  s:outstd_logic_vector(3downto0);co:outstd_logic);endentity;  architecturertlofadder4_2is  signalaa,bb,ss:std_logic_vector(4downto0);beginaa

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。