数字逻辑3-8译码器设计实验报告

数字逻辑3-8译码器设计实验报告

ID:30275028

大小:17.53 KB

页数:5页

时间:2018-12-28

数字逻辑3-8译码器设计实验报告_第1页
数字逻辑3-8译码器设计实验报告_第2页
数字逻辑3-8译码器设计实验报告_第3页
数字逻辑3-8译码器设计实验报告_第4页
数字逻辑3-8译码器设计实验报告_第5页
资源描述:

《数字逻辑3-8译码器设计实验报告》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划数字逻辑3-8译码器设计实验报告  EDA实验报告书  数字逻辑3-8译码实验报告  3-8译码器  1、设计题目及要求  设计题目:3-8译码器。  设计要求:通过程序验证和了解3-8译码器。  2、设计步骤  程序代码如图所示  3、叙述调试过程,给出引脚锁定情况  编译  MAX+PLUSII?compiler  (2)引脚锁定  MAX+PLUSII?EloorplanEditor  引脚锁定情况:(转载于:写论文网:数字逻辑3-8译码器设计实验报告)分别接开关,  

2、y0、y1、y2、y3、y4、y5、y6、y7接灯。  4、仿真波形图  仿真波形图  5、下载测试结果及实验结果讨论  下载步骤是:MAX+PLUSII?programmer?configure,目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  现象:下载成功后,在s1开关打开的前提下,分别调整a、b、c开关的开、关情况,灯会相应的亮。  3-8译码器VHDL设计实验报告  一、设计原理:  先

3、判断使能端口EN状态,当其满足高电平时,判断三个输入端口A2,A1,A0的状态来决定输出。若使能端口为低电平则固定输出不受逻辑输出A2,A1,A0的影响。使能有效时按照三个输入状态决定八个输出的状态。  真值表:  A2A1A0Y7Y6Y5Y4Y3Y2Y1Y0    二、实验程序:  LIBRARYIEEE;  USE_LOGIC_;  ENTITYdemoIS  PORT(A:INSTD_LOGIC_VECTOR(2DOWNTO0);  EN:INSTD_LOGIC;  Y:OUTSTD_LOGIC_VECTOR(7DOWNTO0));  ENDdemo;  ARCHITECTURE

4、DEC_BEHAVEOFdemoIS  SIGNALSEL:STD_LOGIC_VECTOR(3DOWNTO0);  BEGIN目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  SEL(0)NewprojectWizard  (2)在向导的第一页设置工程文件夹,工程名称及顶层实体名称。注意:工程名称和顶层实体名称相同且不能为中文。  推荐工程文件夹、工程名称和顶层实体名称相同。  (3)点击Nex

5、t按钮,进入添加设计文件对话框  (4)点击Next进入选择目标芯片对话框,ACEX1K系列EP1K30QC208-3  (5)点击Next进入EDA工具设置页面  (6)在新建工程向导最后,QuartusII给出新建工程摘要信息,点击Finish完成向导。  2、程序设计输入  执行File-New?选中DeviceDesgnFiles中的VHDLFile后,点击OK,这时执行File—SaveAs  输入源程序  程序输入  (二)、编译  1启动编译:执行菜单processing->startcompilation。当发现错误时会立即终止编译,并给出错误信息,双击错误名称,会自动

6、定位到出错位  置,以便修改错误。目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  2完成编译:给出编译报告  、仿真  1、新建波形文件:执行File->NEW,选中OtherFiles中vectorwaveformfile,点击OK新建空白文件,名位,执行file->saveas保存。默认仿真时间1us.  2、添加输入、输出节点  在波形编辑器左边Name列空白处双击,打开添加仿真信号对话框

7、。点击Nodefinder打开对话框,从filter列表中pins:all,然后点击list,添加节点。  3、编辑输入信号波形  通过波形编辑器左侧的信号设置工具栏,编辑输入波形。当需要设定某段波形时,将其用鼠标拖黑,然后用工具栏中的按钮赋值。信号波形编辑完成后存盘。  4、启动仿真  执行processing-startsimulation.从波形图判断输入、输出状态是否符合设计要求  仿真波形图  、器件编程及验证  1、管脚设定:执行a

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。