EDA实验报告三(3-8译码器的设计).doc

EDA实验报告三(3-8译码器的设计).doc

ID:58676810

大小:334.00 KB

页数:7页

时间:2020-10-15

EDA实验报告三(3-8译码器的设计).doc_第1页
EDA实验报告三(3-8译码器的设计).doc_第2页
EDA实验报告三(3-8译码器的设计).doc_第3页
EDA实验报告三(3-8译码器的设计).doc_第4页
EDA实验报告三(3-8译码器的设计).doc_第5页
资源描述:

《EDA实验报告三(3-8译码器的设计).doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验三:3-8译码器的设计一、实验目的1、学习QuartusII7.2软件设计平台。2、了解EDA的设计过程。3、通过实例,学习和掌握QuartusII7.2平台下的文本输入法。4、学习和掌握3-8译码器的工作和设计原理。5、初步掌握该实验的软件仿真过程。二、实验仪器PC机,操作系统为Windows7/XP,本课程所用系统均为WindowsXP(下同),QuartusII7.2设计平台。三、实验步骤1、创建工程,在File菜单中选择NewProjectWizard,弹出对话框如下图所示在这个窗口中第一行为工程保存路径,第二行为工程名,第三行为

2、顶层文件实体名,和工程名一样。2、新建设计文本文件,在file中选择new,出现如下对话框:选择VHDLFile点击OK。3、文本输入,在文本中输入如下程序代码:libraryieee;useieee.std_logic_1164.all;entityvariable_decoderisport(A:inSTD_LOGIC;B:inSTD_LOGIC;C:inSTD_LOGIC;Y:outSTD_LOGIC_VECTOR(7downto0));endvariable_decoder;architecturertlofvariable_deco

3、derisbeginprocess(A,B,C)variableCOMB:std_logic_vector(2downto0);beginCOMB:=C&B&A;caseCOMBiswhen"000"=>Y<="";when"001"=>Y<="";when"010"=>Y<="";when"011"=>Y<="";when"100"=>Y<="";when"101"=>Y<="";when"110"=>Y<="";when"111"=>Y<="";whenothers=>Y<="XXXXXXXX";endcase;endprocess;en

4、drtl;然后保存到工程中,结果如下图所示:4、编译,如果有多个文件要把这个文件设为当前顶层实体,这样软件编译时就只编译这个文件。点击projet->SetasTop-levelEntityCtrl+Shift+J接下来进行编译,点击processing->StartCompilation,见下图5、仿真验证,打开波形编辑器,新建一个波形仿真文件,如下图:然后选择菜单“View”→“Utility”→“NodeFinder”出现如下对话框,在“Filter”中选择“Pins:all”,再点击“List”即在下边的“NodeFound”框中出现

5、本设计项目中所有端口引脚列表,并逐个拖到波形编辑器的窗口中。接下来编辑输入信号波形,然后将编辑好的信号波形保存,点击波形仿真,仿真结果如下图所示:6.选择菜单“Tools”→“NetlistViewers”→“RTLviewer”得到如下电路图:7、引脚分配(1)选择菜单“Assignments”→“Pins”命令,在分配编辑器的“Category”列表→“Locationpin”,出现如图引脚分配界面:(2)引脚锁定的器件平面视图:四.小结通过这次上机,在quartus软件平台上对3-8译码器代码实现的操作,我们了解了3-8译码器的原理及V

6、HDL语言的特点,对顺序语句运用更加熟练。对报错情况更加了解,能较快的找出并改正。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。