基于verilog hdl的伪随机信号在通信系统仿真中的实现

基于verilog hdl的伪随机信号在通信系统仿真中的实现

ID:3153540

大小:708.00 KB

页数:15页

时间:2017-11-20

基于verilog hdl的伪随机信号在通信系统仿真中的实现_第1页
基于verilog hdl的伪随机信号在通信系统仿真中的实现_第2页
基于verilog hdl的伪随机信号在通信系统仿真中的实现_第3页
基于verilog hdl的伪随机信号在通信系统仿真中的实现_第4页
基于verilog hdl的伪随机信号在通信系统仿真中的实现_第5页
资源描述:

《基于verilog hdl的伪随机信号在通信系统仿真中的实现》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、基于VerilogHDL的伪随机信号在通信系统仿真中的实现15/15申明在本次的实验报告中,本人详细的阐述了如何解答2009EDA暑期作业第四题的详细过程。首先,简要介绍了随机噪声的作用并引出数字式的伪随机噪声,然后简要介绍了几种伪随机噪声序列,接着引出目前最常用的伪随机噪声序列——m序列,并且运用大量的篇幅分别对m序列在数学和通信两个不同领域展开了讨论。从它在数学意义上描述的的函数的角度开始,来分析、推导、证明,并论证了几个重要定理和性质;然后从信号角度分析了它在通信中的角色,推导出它的噪声功率谱密度、噪声自相关特性、通频带的概率面积等。接着根据上面已经推导和论证的条件

2、,运用数学建模的思想构建了伪随机噪声的数学模型和在数字逻辑电路上的电路逻辑模型。最后根据上述建造的逻辑模型运用VerilogHDL硬件描述语言来描述。当VerilogHDL成功描述逻辑电路模型后,通过QuartusII综合开发软件来仿真噪声,并根据仿真的波形来论证m序列的原理。对于题中2Vp-p,可以把FPGA引脚输出的信号通过高速DA来转换成相应的信号幅度值,运用于实际通信系统中。基于篇幅,本报告中未涉及关于DA的描述,还请谅解。报告中所有的数据都是本人查阅书籍资料独立编写,属于原创。报告中所有的数组表达式、函数公式、指数、幂运算、微积分均用MATHTYPE软件编写,所

3、有数学建模图和电路逻辑建模图均用VISIO软件描绘,VerilogHDL程序都是基于QuartusII来编译和仿真,源代码给出了详细的注释。1伪随机噪声的出现在通信系统中的随机噪声会使模拟信号产生失真和使数字信号出现误码,并且,它还是限制信道容量的一个重要因素。因此,人们经常希望消除或减少通信系统中的随机噪声来提高通信系统的性能。但是,在另一方面,人们又15/15希望能简单快捷的获得随机噪声。例如,在通信系统性能的测试中,可能要故意加入一定的随机噪声来仿真实际的噪声。又如,为了提高通信的保密性,在通信的信道里希望参杂随机噪声来保护原本的机密信息。但是并不是所有的噪声都可以

4、拿来运用,可以利用的噪声必须具有周期性和随机性这两个似乎矛盾又统一的要求,否则通信系统的误码率会只增不减,有的接收端甚至根本不能把原本的代码还原出来。人们一直努力探索能达到上述目的的随机噪声,直到20实际60年代的伪随机噪声的发明才使这一困难得以解决。伪随机噪声具有类似随机噪声的某些噪声的统计特性,同时又能够重复产生。目前广泛使用的伪随机噪声都是由周期性数字序列经过滤波等处理得出的,于是我们把这种不是通过物理(微积分)产生得到的而是通过周期性数字序列产生的随机噪声脉冲称为伪随机噪声,相应的序列称为伪随机序列或为伪随机码。伪随机码包括以下几种常用的产生方法:线性反馈移位寄存

5、器产生的m序列,非线性反馈移位寄存器产生的M序列,二次剩余序列,双素数序列。其中m序列被广泛采用,本报告的VerilogHDL程序也是基于m序列的原理来编写的。3m序列的原理与实现首先,伪随机噪声不同于真随机噪声,它的噪声密度功率谱不是连续的,是数字分散的,所以才称为“伪随机”。二进制伪随机序列也属于伪随机噪声,并且在序列中只有“0”和“1”两种状态。二进制伪随机码一般是通过移位寄存器加反馈电路共同完成的。这种反馈移位寄存器分为线性反馈和非线性反馈移位寄存器两种。其中由线性反馈移位寄存器产生的周期最长的二进制数字序列叫做最大长度线性反馈移位寄存器序列,也叫做m序列。m序列

6、具有以下几个特性:①和随机序列类似的随机性,具有良好的伪随机性。②具有良好的自相关、互相关和部分相关特性,其自相关峰值尖锐,而互相关和部分相关值趋于零(在下面的篇幅里有推导)③伪随机的数目足够多,可以提供最够多的地址。④要求的设备简单,易实现。2.1m序列的产生原理m序列是最长线性反馈移位寄存器序列的简称。它是由带线性反馈的移位寄存器产生的最长的序列。为了让大家能快速入门,这里举一个4级线性反馈移位寄存器的例子来说明m序列的工作原理。15/15图2-14线性反馈移位寄存器原理方框图在图2-1中,出示了一个4级线性反馈移位寄存器。设其出示状态为。则在移位一次时,由和模2相加

7、后产生的新输入,新的状态改变成。这样移位15次后又回到初始状态。不难看出若初始状态为,则移位后得到的任然是全“0”的状态。这就意味着在这种反馈移存器中应该避免出现全零的状态,否则移位寄存器将不会改变。最高位也要保持为“1”,否则就一直为“0”的不符合序列。因为4级移位寄存器共有=16,除了全“0”的状态外,只剩下15种状态可用。这是说:由任何4级反馈移位寄存器产生的序列的周期最长为15。我们常常希望用尽可能少的级数产生尽可能长的序列。可见,一个n级线性反馈移位寄存器可能产生的最长周期等于(2-1)。我们把这种最长的序列称为最长

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。