fpga_asic-turbo码实时交织器的fpga实现new

fpga_asic-turbo码实时交织器的fpga实现new

ID:34533005

大小:384.16 KB

页数:5页

时间:2019-03-07

fpga_asic-turbo码实时交织器的fpga实现new_第1页
fpga_asic-turbo码实时交织器的fpga实现new_第2页
fpga_asic-turbo码实时交织器的fpga实现new_第3页
fpga_asic-turbo码实时交织器的fpga实现new_第4页
fpga_asic-turbo码实时交织器的fpga实现new_第5页
资源描述:

《fpga_asic-turbo码实时交织器的fpga实现new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、TURBO码实时交织器的FPGA实现蒋钦,段吉海,陈石平(桂林电子科技大学通信与信息工程系,广西桂林541004)摘要:介绍交织技术在Turbo码中的作用及原理,按照螺旋交织器设计的要求,提出了一种交织器电路的设计方案。根据此设计思路得出交织器系统框图,用硬件描述语言进行编程设计了交织器的电路,详细说明了设计内容,并给出了仿真结果。关键词:交织器与解交织器FPGA技术交织地址Turbo码螺旋对称交织器中图分类号:TN91文献标识码:AAbstract:Thispaperintroducesitsroleandprincipleofinterleavetechnolog

2、yintheTurbocode,,andproposesonekinddesignmethodininterweaverelectriccircuitinthelightofrequestofthespiralinterweavingdesign.Accordingtothedesignmethod,wegiveaninterweavingsystemdiagram,programanddesigntheinterweavingcircuitwiththeHDL,explainthedesigncontentindetailandgivethesimulationre

3、sultwiththetoolQuartusiiKeywords:InterleaverandDeinterleaverFPGAtechnologyInterleavingaddressTurboCodeSpiralSymmetricalInterleaver引言在现代数字通信系统中,FPGA的应用相当广泛。尤其是在对基带信号的处理和整个系统的控制中,FPGA不但能大大缩减电路的体积,提高电路的稳定性,而且先进的开发工具使整个系统的设计调试周期大大缩短。法国学者C.Berrou等人在1993年提出了[1]Turbo码,它在低信噪比下表现出了近Shannon限的性能,其

4、性能超过了其他信道编码方法。Turbo码自提出后便引起了各国研究者的极大兴趣,在编码理论界引起了轰动,成为信息论提出以来最重大的研究进展。本文介绍是用FPGA方式实现Turbo码交织器的电路。1.Turbo码的交织技术介绍经过研究发现,Turbo码之所以不同于以往的其他编码,表现出了极佳的性能,其中一个重要原因就是采用了交织器。交织器的主要作用就是将原始数据序列打乱,使得交织前后数据序列的相关性减弱,这样做很突出的一个优点便是大大降低了数据突发错误的影响。在现代的高效编码调制技术中,无论是级联编码还是Turbo编码中都要使用交织器。1.1交织器与解交织器的原理广西科学

5、基金(桂科基0575096)交织器的主要原理就是将原始数据序列打乱,使得交织前后数据序列的相关性减弱,而解交织器就是把交织后混乱的数据序列恢复到原来的数据序列。Turbo码编译码系统中常用的交织器按交织方式可分为分组交织器和随机交织器两种。以最常用的行列交织器为例,它的交织方式是采用行顺序写入、列顺序读出的方式,而解交织列顺序写入,行顺序读出。1.2交织器的设计规则[2]Turbo码中交织器要想获得好的性能要满足一定的设计基本要求。本文使用的是分组对称螺旋交织器,除了一般特征外还有其本身的特点:1)m和n使互质的;2)n应该为编码约束长度的倍数;3)n为偶数可以使交织

6、器满足模2属性;4)m和n的数值相差1。1.3.对称分组螺旋交织器的工作原理和特点[3]相对于矩阵交织器而言,螺旋对称交织器具有一些优点,如.对称性,保奇偶性,.去相关性等等。它的工作原理是以行列顺序依次写入,然后在交织时从矩阵的左上角开始向右下方读取数据,每向下一行同时右移一位。它的表达式如下:rr=+1modmii+1cc=+1modnii+12总体方案设计图1设计电路的结构图2.1系统设计交织器的大小对交织效果影响很大,交织长度越大,交织后的相关性越小。考虑实际设计电路的复杂性,本文设计13×12的分组螺旋对称交织器,即可以使用一个交织序列完成交织和解交织过程。

7、系统设计思路如图1所示:计数器产生0~N-1的顺序序列作为Rom的输入地址,产生的ch信号控制RAM模块。ROM产生顺序地址和交织地址分别作为RAM模块的写入地址和读取地址,最后经复接器把2个RAM模块的输出和在一起。2.2电路设计根据系统结构图可以画出程序流程图,如图2所示。根据流程图就可以编写程序了。使用verilog语言编写各个模块,然后把各个功能模块的生成元件符号连接起来,就组成了设图2程序流程图。计电路的顶层系统框图,如图3所示。该电路是由1个计数器产生的计数信号cnt[7:0]控制2个rom,而产生的ch信号控制2个ram。其中1片Rom

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。