电子课程设计--定时器

电子课程设计--定时器

ID:35617531

大小:174.33 KB

页数:16页

时间:2019-04-02

电子课程设计--定时器_第1页
电子课程设计--定时器_第2页
电子课程设计--定时器_第3页
电子课程设计--定时器_第4页
电子课程设计--定时器_第5页
资源描述:

《电子课程设计--定时器》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、太原科技大学:名字起个什么电子课程设计——定时器学院:专业:姓名:学号:指导老师:2009年12月16太原科技大学:名字起个什么目录一.设计任务与要求……………………………………3二.总体框图……………………………………………3三.功能模块……………………………………………4四.总体设计电路图……………………………………12五.心得体会……………………………………………1416太原科技大学:名字起个什么定时器一、设计任务与要求1.设计任务与要求:通过设计,定时器可以整体清零;可以定时最高到99MIN;以秒速度递增至预定时间,以分速度递减至零。2.设计目的(1)了解并掌握电

2、路的一般设计方法,具备初步的独立设计能力。(2)进一步熟悉常用电子模块的设计思路和功能,并掌握合理选用的原则。(3)提高综合运用所学的理论知识。独立分析和解决问题的能力。(4)进一步熟悉电子仪器的正确使用方法。二、总体框图控制计数部分二选一选择器分频器译码器数码管时钟信号图116太原科技大学:名字起个什么设计思路:通过记数器控制中心输入秒信号,并输出两个四位的BCD码,可分别来表示各位与十位,也可整体复位清零。通过该记数器实现以秒速度递增至清零,该记数器以秒的速度递增至99来实现置位,而以分的速度递减至零以实现定时功能。通过二选一选择器对个位和十位进行扫描输出,并将输出送

3、到译码器,通过译码器对输入的四位BCD码进行七段码编译,然后输出到数码管。三、功能模块1、ctrl记数模块模块器件图:图2模块逻辑功能:ctrl计数模块是该定时器的核心部分.res为复位端,用来清零,采用异步复位方式;cn用于置位,高电平有效。cout端将在定时结束时产生高电平。Low和high为四位BCD码输出端口,可用于显示。当cn有效时,clk脉冲上升沿到来,计数加1;当cn为低电平时,置位结束,进入计时阶段,每60个时钟周期(相当于一分钟)发出一个脉冲,使输出记数减1,直到记时结束,命名cout位为高电平为止。该模块的源程序如下:LIBRARYieee;USEie

4、ee.std_logic_1164.all;USEieee.std_logic_unsigned.all;ENTITYctrlISPORT16太原科技大学:名字起个什么(cn,res,clk:INSTD_LOGIC;cout:outSTD_LOGIC;low,high:OUTstd_logic_vector(3downto0));ENDctrl;ARCHITECTURErtlOFctrlISSIGNALdisplow,disphigh:std_logic_vector(3downto0);BEGINa:PROCESS(clk,cn,res)variablecnt:inte

5、gerrange0to59;BEGINIF(res='0')THENdisplow<="0000";disphigh<="0000";cnt:=0;cout<='0';ELSIF(clk'EVENTANDclk='1')THENIFcn='1'THENcnt:=0;16太原科技大学:名字起个什么ifdisplow<"1001"thendisplow<=displow+'1';ELSEdisplow<="0000";ifdisphigh<"1001"thendisphigh<=disphigh+'1';elsedisphigh<="0000";endif;endif;ELS

6、Eifcnt<59thencnt:=cnt+1;elsecnt:=0;ifdisplow>"0000"thendisplow<=displow-'1';--elsifdisplow=1then--displow<="0000";elsedisplow<="1001";ifdisphigh>"0000"thendisphigh<=disphigh-'1';elsedisplow<="1001";endif;16太原科技大学:名字起个什么endif;endif;endif;endif;ifdisphigh="0000"anddisplow="0000"thencout<='1

7、';elsecout<='0';endif;endprocess;high<=disphigh;low<=displow;endrtl;该器件的仿真波形如图3图3通过仿真波形可以看出低位和高位都可以从零变到9,可以说明输出可以从0变到99,且低位为9时,下一时刻将变为0,而高位增1,当高位变成9时会自动清零。2、sel2二选一选择模块模块器件图:16太原科技大学:名字起个什么图4模块逻辑功能:二选一选择模块主要是接受由ctrl输出的四位BCD码,并通过选择信号sel进行选择输出。其源程序如下:libraryieee;useie

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。