vhd并行描述语句

vhd并行描述语句

ID:36318430

大小:751.31 KB

页数:31页

时间:2019-05-09

vhd并行描述语句_第1页
vhd并行描述语句_第2页
vhd并行描述语句_第3页
vhd并行描述语句_第4页
vhd并行描述语句_第5页
资源描述:

《vhd并行描述语句》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第24讲并发描述语句(5)主要知识点:生成语句1.FOR-GENERATE2.IF-GENERATEG复习:参数传递语句元件例化参数传递语句参数传递语句(GENERIC)主要用来传递信息给设计实体的某个具体元件,如用来定义端口宽度、器件延迟时间等参数后并将这些参数传递给设计实体。使用参数传递语句易于使设计具有通用性,例如,在设计中有一些参数不能确定,为了简化设计和减少VHDL程序的书写,我们通常编写通用的VHDL程序。在设计程序中,这些参数是待定的,在模拟时,只要用GENERIC语句将待定参数初始化即可。参数传递语句的书写格式为:GENERIC(类属表);例:L

2、IBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYand2ISGENERIC(DELAY:TIME:=10ns);PORT(a:INSTD_LOGIC;b:INSTD_LOGIC;c:OUTSTD_LOGIC);ENDand2;ARCHITECTUREbehaveOFand2ISBEGINc<=aANDbAFTER(DELAY);ENDbehave;元件例化语句元件例化就是将预先设计好的设计实体定义为一个元件,然后利用映射语句将此元件与当前设计实体中的指定端口相连,从而为当前设计实体引入了一个低一级的设计层次。在结构体中,描

3、述只表示元件(或模块)和元件(或模块)之间的互连,就象网表一样。当引用库中不存在的元件时,必须首先进行元件的创建,然后将其放在工作库中,通过调用工作库来引用元件。在引用元件时,要先在结构体中说明部分进行元件的说明,然后在使用元件时进行元件例化。元件例化语句也是一种并行语句,各个例化语句的执行顺序与例化语句的书写顺序无关,而是按照驱动的事件并行执行的。在进行元件例化时,首先要进行例化元件的说明,元件说明部分使用COMPONENT语句,COMPONENT语句用来说明在结构体中所要调用的模块。如果所调用的模块在元件库中并不存在时,设计人员必须首先进行元件的创建,然后将

4、其放在工作库中通过调用工作库来引用该元件。COMPONENT语句的一般书写格式如下:COMPONENT<引用元件名>[GENERIC<参数说明>;]PORT<端口说明>;ENDCOMPONENT;--元件说明语句在上面的书写结构中,保留字COMPONENT后面的“引用元件名”用来指定要在结构体中例化的元件,该元件必须已经存在于调用的工作库中;如果在结构体中要进行参数传递,在COMPONENT语句中,就要有传递参数的说明,传递参数的说明语句以保留字GENERIC开始;然后是端口说明,用来对引用元件的端口进行说明;最后以保留字ENDCOMPONENT来结束COMPO

5、NENT语句。如果在结构体中要引用上例中所定义的带延迟的二输入与门,首先在结构体中要用COMPONENT语句对该元件进行说明,说明如下:COMPONENTand2GENERIC(DELAY:TIME);PORT(a:INSTD_LOGIC;b:INSTD_LOGIC;c:OUTSTD_LOGIC);ENDCOMPONENT;用COMPONENT语句对要引用的元件进行说明之后,就可以在结构体中对元件进行例化以使用该元件。元件例化语句的书写格式为:<标号名:><元件名>[GENERICMAP(参数映射)]PORTMAP(端口映射);标号名是此元件例化的唯一标志,在结

6、构体中标号名应该是唯一的,否则编译时将会给出错误信息;接下来就是映射语句,映射语句就是把元件的参数和端口与实际连接的信号对应起来,以进行元件的引用。VHDL提供了三种映射方法:位置映射、名称映射和混合映射。位置映射就是PORTMAP语句中实际信号的书写顺序与COMPONENT语句中端口说明中的信号书写顺序保持一致,如下例所示:位置映射示例:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYexampleISPORT(in1,in2:INSTD_LOGIC;out:OUTSTD_LOGIC);ENDexample;ARCHI

7、TECTUREstructureOFexampleISCOMPONENTand2GENERIC(DELAY:TIME);PORT(a:INSTD_LOGIC;b:INSTD_LOGIC;c:OUTSTD_LOGIC);ENDCOMPONENT;BEGINU1:and2GENERICMAP(10ns)--参数映射PORTMAP(in1,in2,out);--端口映射ENDstructure;标号名元件名元件例化在上例中,元件U1的端口a映射到信号in1,端口b映射到信号in2,端口c映射到信号out。元件说明2.名称映射在PORTMAP语句中将引用的元件的端口信号

8、名称赋给结构体中要使用的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。