EDA综合课程设计-数字秒表

EDA综合课程设计-数字秒表

ID:37928899

大小:110.50 KB

页数:13页

时间:2019-06-03

EDA综合课程设计-数字秒表_第1页
EDA综合课程设计-数字秒表_第2页
EDA综合课程设计-数字秒表_第3页
EDA综合课程设计-数字秒表_第4页
EDA综合课程设计-数字秒表_第5页
资源描述:

《EDA综合课程设计-数字秒表》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、北华航天工业学院《EDA技术综合设计》课程设计报告报告题目:数字秒表作者所在系部:电子工程系作者所在专业:电子信息工程作者所在班级:B10211作者姓名:指导教师姓名:完成时间:2012.12.13内容摘要近几年EDA技术发展十分迅速,在未来的三至五年EDA技术会像单片机一样成为社会的主流。应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了数字系统的开发时间。我们尝试利用VHDL为开发工具设计数字秒表。秒表的逻辑结构较简单,它主要由十进制分频器、计数器、六进制计数器、数据选择器、和显示译码器等组成。在整个秒表中最关键的是如何获得一个精确的10

2、0HZ计时脉冲,除此之外,整个秒表还需有一个启动信号和一个清零信号,以便秒表能随意停止及启动。秒表有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出,这样便与同显示译码器连接。关键词:VHDL,数字秒表,QuartusII,时序仿真图。目录一、实验目的…………………………………………………………………………1二、硬件要求…………………………………………………………………………1三、方案论证……………………………………………………………………1四、模块说明……………………………………

3、……………………………………1分频器………………………………………………………………………………1六进制计数器………………………………………………………………………2十进制计数器………………………………………………………………………3控制选择器…………………………………………………………………………4译码器………………………………………………………………………………5蜂鸣器模块…………………………………………………………………………6五、整体连接图………………………………………………………………………7六、实验步骤…………………………………………………………………

4、………8七、实验结果…………………………………………………………………………8八、实验总结………………………………………………………………………8九、参考文献…………………………………………………………………………8三课程设计任务书课题名称秒表接口设计完成时间2012.12.13指导教师职称副教授学生姓名班级B10211总体设计要求和技术要点总体设计要求:通过本课程的学习使学生掌握可编程器件、EDA开发系统软件、硬件描述语言和电子线路设计与技能训练等各方面知识;提高工程实践能力;学会应用EDA技术解决一些简单的电子设计问题。技术要点:1.秒表有共有6个输出显示,分

5、别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出,这样便与同显示译码器的连接。2.开关设置秒表报警器,每10秒钟,蜂鸣器鸣响1声,发光二极管闪烁。当计时达60分钟后,蜂鸣器鸣响10声。工作内容及时间进度安排工作内容:在软件上编辑、编译程序,并仿真到达实验要求。进度安排;课下编写程序,并要求程序能通过编译仿真;第十五周在实验箱上下载调试程序;验收。课程设计成果1.与设计内容对应的软件程序2.课程设计报告书3.成果使用说明书4.设计工作量要求一、一、实验目的学习使用VHDL语言设计题目,掌握VHDL语言的

6、编程方法以及EDA芯片的下载仿真。二、硬件要求(1)主芯片EPF10K10LC84-4。(2)蜂鸣器。(3)8位八段扫描共阴极数码显示管。(4)二个按键开关(清零,开始/暂停)。三、方案论证数字秒表计时控制电路控制状态机计时电路显示电路分频电路计数器六进制计数器扫描电路七段译码器十进制计数器系统组成框图四、模块说明秒表的设计共化分为6个模块:分频器(cfp),六计数器(count6),十计数器(count10),六选一选择器,译码器。下面具体分析各个模块的原理、内容和功能。1.分频器(cfp)能够实现对10MHZ的clk时钟信号进行分频,从而得到0.01秒的计数脉

7、冲信号即100hz的脉冲信号。图1为分频器的仿真波形图。libraryieee;useieee.std_logic_1164.all;entitycfpisport(clk:instd_logic;q0:bufferstd_logic);endcfp;9architectureaofcfpissignalcounter:integerrange0to49999;beginprocess(clk)beginif(clk='1'andclk'event)thenifcounter=49999thencounter<=0;q0<=notq0;elsecounter<=c

8、ounte

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。