《Quartus入门》PPT课件

《Quartus入门》PPT课件

ID:39362324

大小:916.60 KB

页数:68页

时间:2019-07-01

《Quartus入门》PPT课件_第1页
《Quartus入门》PPT课件_第2页
《Quartus入门》PPT课件_第3页
《Quartus入门》PPT课件_第4页
《Quartus入门》PPT课件_第5页
资源描述:

《《Quartus入门》PPT课件》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、QuartusⅡ软件入门电子技术实验(II)问题的提出设计一个数字钟,使之完成以下功能:实现时、分、秒的计时;时可采取12小时计时也可采取24小时计时;具有异步清零和启动/停止功能;并可调整时间。用数码管显示时分秒;具有整点报时功能;可继续设计日、星期、月、年等其他万年历功能。可设计秒表功能,闹钟功能。解决方案1——传统的数字系统设计方法1.根据设计要求划分功能模块;2.确定输入和输出的关系,画出真值表,写出逻辑表达式;4.利用公式或卡诺图进行人工化简;5.根据化简后的逻辑表达式画出电路原理图;6.在面包板上进行实验,验证电路的正确性;

2、7.若无错误,再在透明薄膜上用贴图符号贴PCB图;8.检查后送制板厂制板;9.对PCB板进行安装、调试,若有大的错误,修改设计,重复以上过程,重新制板。搭积木的方式!基于电路板的设计方法——采用固定功能的器件(通用型器件),通过设计电路板来实现系统功能传统的数字系统设计方法特点采用自下而上(BottomUp)的设计方法采用通用型逻辑器件搭积木式的方式在系统硬件设计的后期进行仿真和调试主要设计文件是电路原理图传统的数字系统设计方法的缺点效率低下——所有这一切,几乎都是手工完成!设计周期很长;容易出错;芯片种类多,数量大,受市场的限制;设计

3、灵活性差;产品体积大。解决方案2——现代的数字系统设计方法首先在计算机上安装EDA软件,它们能帮助设计者自动完成几乎所有的设计过程;再选择合适的PLD芯片,可以在一片芯片中实现整个数字系统。基于芯片的设计方法——采用PLD(可编程逻辑器件),利用EDA开发工具,通过芯片设计来实现系统功能。EDA软件空白PLD+数字系统编程现代的数字系统设计方法(续1)1.根据设计要求划分功能模块2.PLD开发(利用EDA工具)(1)设计输入:采用原理图或硬件描述语言(HDL),描述出输入和输出的逻辑关系,将整个原理图或程序输入到计算机中;(2)设计的编

4、译:EDA工具可自动进行逻辑综合,将功能描述转换为门级描述,或转换成具体PLD的网表文件,将网表文件自动适配到具体芯片中进行布局布线;(3)功能仿真和时序仿真;(4)编程下载到实际芯片中,在实验台上进行验证;(5)在每一阶段若有问题,可在计算机上直接修改设计,重复以上过程。现代的数字系统设计方法(续2)3.设计包含PLD芯片的电路板(1)在计算机上利用EDA软件画电路原理图;(2)进行电气规则检查无误后,自动生成网表文件;(3)利用EDA软件画PCB图,自动布线;(4)自动进行设计规则检查,无误后输出文件,制板。优点:效率高——所有这一

5、切,几乎都是借助计算机利用EDA软件自动完成!容易检查错误,便于修改;设计周期短、成功率很高;产品体积小。现代的数字系统设计方法通常采用自上而下(TopDown)的设计方法采用可编程逻辑器件在系统硬件设计的早期进行仿真主要设计文件是用硬件描述语言编写的源程序降低了硬件电路设计难度特点自行定义器件内部的逻辑和引脚写出真值表或状态表→EDA开发工具自动进行逻辑综合→模拟仿真→编程下载到PLD中基于芯片——采用PLD,利用EDA开发工具,通过芯片设计来实现系统功能。什么是EDA技术?EDA(ElectronicDesignAutomation

6、,电子设计自动化)是在计算机的辅助下完成电子产品设计的一种先进的硬件设计技术!是立足于计算机工作平台开发出来的一整套先进的设计电子系统的软件工具。计算机并口器件编程接口PCBBoardPLD编程目标文件EDA技术的范畴IC版图设计PLD设计电路设计PCB设计模拟电路数字电路混合电路设计输入逻辑综合仿真编程下载本课程内容!学习EDA到底有什么用呢?真有趣,可以按自己的想法设计一个芯片!我也要参加全国大学生电子设计竞赛!呀,毕业设计正好能用得上哎!原来在一个芯片里就可以设计一个完整的计算机系统呀!找工作时也算得上一技之长哦!电子设计自动化(

7、ElectronicDesignAutomation,EDA)技术是以计算机科学和微电子技术发展为先导,汇集了计算机图形学、拓扑逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科最新成果的先进技术,它是在先进的计算机工作平台上开发出的一整套电子系统设计的软件工具。从20世纪60年代中期开始,人们不断开发出各种计算机辅助设计工具来帮助设计人员进行集成电路和电子系统的设计,集成电路技术的不断发展对EDA技术提出新的要求,并促进了EDA技术的发展。EDA及其发展●第一阶段:计算机辅助设计(CAD阶段)●第二阶段:电子设计自动化(EDA)

8、阶段●第三阶段:电子设计自动化(EDA)的发展阶段EDA工具电路仿真工具:主要用于模拟电路和数字电路的仿真,常见的有SPICE/PSPICE、EWB、MULTISIM等;电路板级设计工具:常见的有PROTE

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。