NC-Verilog仿真详解

NC-Verilog仿真详解

ID:39466500

大小:43.50 KB

页数:4页

时间:2019-07-04

NC-Verilog仿真详解_第1页
NC-Verilog仿真详解_第2页
NC-Verilog仿真详解_第3页
NC-Verilog仿真详解_第4页
资源描述:

《NC-Verilog仿真详解》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、ncverilog仿真详解发表在ASIC/FPGA/汇编,学习笔记,编程开发 

2、 由阿布 

3、 十一月26,2011 

4、 0标签:ncverilog,仿真数位IC工具简介——Simulator工具ModelSim   ModelSim是Mentor公司所推出的软体,主要用来当作VHDL的模拟器,也是目前CIC在VHDL方面的主要的模拟软体;但ModelSim不仅支援VHDL的模拟,同样也可用来当Verilog的模拟器,更进一步的,ModelSim也支援VHD&Verilog的混合模拟,这对於单晶片系统(SoC)的发展上,矽智产(IP)是来源来自不同

5、的地方,有些矽智产是采用VHDL描述,有些是Verilog描述,因此这是不可或缺的功能.所以CIC引进ModelSim这一套软体.NCSim   NC-SIM为Cadence公司之VHDL与Verilog混合模拟的模拟器(simulator),可以帮助IC设计者验证及模拟其所用VHDL与Verilog混合计设的IC功能.NCVerilog   NC-Verilog为Cadence公司之Verilog硬体描述语言模拟器(simulator),可以帮助IC设计者验证及模拟所设计IC的功能.使用NC-Verilog软体,使用者必须使用Verilog硬体

6、描述语言的语法来描述所要设计的电路.VCS   VCS为Synopsys公司之Verilog硬体描述语言模拟器(simulator),可以帮助IC设计者验证及模拟所设计IC的功能.使用VCS软体,使用者必须使用Verilog硬体描述语言的语法来描述所要设计的电路.ncverilog使用ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核;ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiplestep和singlestepncverilog的三步模式为:ncvlog(编译)nce

7、lab(建立snapshot文件)ncsim(对snapshot文件进行仿真)基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作ncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式三命令模式: ncvlog-frun.f ncelabtb-accesswrc ncsimtb-gui 第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb文件放在首位,这样可以避免出现提示timescale的错误  注意:ncvlog执行以后将产生一个名为INCA_libs的

8、目录和一个名为worklib的目录 第二个命令中,access选项是确定读取文件的权限。其中的tb是你的tb文件内的模块名字。 注意:ncelab要选择tb文件的module,会在snapshot文件夹下生成snapshot的module文件 第三个命令中,gui选项是加上图形界面 在这种模式下仿真,是用“–”的。而下边要说的ncverilog是采用“+”的 三命令模式下GUI界面较好用,其对应的命令会在consolewindow中显示 注意:选择snapshot文件夹下生成的module文件进行仿真单命令模式: ncverilog+access

9、+wrcrtl+gui 在这里,各参数与三命令模式相同。注意“+” 通常都使用单命令模式来跑仿真,但要配置好一些文件单命令模式下文件的配置: 目录下有源文件、测试台文件、file、run四个文件 在linux下执行sourcerun后再执行simvision来查看      run文件内容:ncverilog+access+rw-ffile     file文件内容:cnt_tb.v(注意把tb文件放在前)                   cnt.v      tb文件中应该包含:                initial        

10、          begin                    $shm_open(“wave.shm”);    //打开波形保存文件wave.shm                    $shm_probe(cnt_tb,”AS”);  //设置探针                  end A—signalsofthespecificscope为当前层信号设置探针 S—Portsofthespecifiedscopeandbelow,excludinglibrarycells C—Portsofthespecifiedscopean

11、dbelow,includinglibrarycells AS—Signalsofthespecifiedscopeandbelow,e

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。