《数据类型数据对象》PPT课件

《数据类型数据对象》PPT课件

ID:39536766

大小:317.11 KB

页数:30页

时间:2019-07-05

《数据类型数据对象》PPT课件_第1页
《数据类型数据对象》PPT课件_第2页
《数据类型数据对象》PPT课件_第3页
《数据类型数据对象》PPT课件_第4页
《数据类型数据对象》PPT课件_第5页
资源描述:

《《数据类型数据对象》PPT课件》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、ξ4VHDL语言的数据类型 及运算操作符数据对象数据类型运算操作符常量变量信号标准数据类型自定义数据类型算术逻辑关系并置4.1数据对象(DataObject)及其分类凡是可以赋予一个值的对象称为数据对象。数据对象类(CLASS):信号(signal),变量(variable),常量(constant)类型(DATATYPES):位、位矢量、std、整数、实数等例:类对象数据类型signala:bit;类(CLASS)数据类型(DATATYPES)、名字(NAME)。数据对象的分类:信号、变量、常数。常数:表示物理设计中那些不变的

2、量信号:表示物理设计中的某一条硬件连接线,也可以表示寄存器。变量:电路暂存某些值的载体数据对象的特征:允许被赋值、具有一定的数据类型对象仅在其说明区域可见,区域外不可见例:作用域为整个构造体的客体的定义ARCHITECTURErtlOfnameISSIGNALa:BIT;BEGIN………………………….ENDrtl;在构造体中的任何位置,都可以使用这个信号a。4.1.1常数:在设计描述之中不会变化的值CONSTANT常数名:数据类型:=表达式;常数所赋的值应和所定义的数据类型一致例:CONSTANTVcc:real:=“0101

3、”;错误,因为所赋的值与所定义的数据类型不一致,一个为实数,一个为位矢量。物理含义:可以代表数字电路中的电源、接地、计数器模值等例:CONSTANTVcc:real:=5.0;4.1.2变量(variable)变量仅仅用于进程和子程序,是一个局部量,作用范围仅限于定义该变量的模块。说明格式如下:VARIABLE变量名:数据类型:=表达式;变量的赋值采用“:=”,赋值后立即生效。例:变量在定义时赋值VARIABLEB:INTEGER:=1;变量可以在定义时被赋初值;也可以在进程中被赋值例:变量在进程中被赋值PROCESS(……)v

4、ariableA:BIT_VECTOR;BEGIN………….A:=”01010”;………….ENDPROCESS;对于综合来说,变量通常用于计算的目的,但它的综合比较难于定义,或者综合后占用大量的资源。因此,在设计中尽量避免采用变量。除非在那些我们有信心可预言结果的场合。4.1.3信号(signal)信号能够代表连线,是电子电路内硬件连接的抽象声明内部信号没有数据流动方向SIGNAL信号名:数据类型:=表达式;例:SIGNALCOUNT:BIT:=‘0’;信号在定义时赋初值,用“:=”代入符在程序中,信号的赋值采用“<=”代入符

5、例:SIGNALS1,S2:STD_LOGIC;……S2<=’1’;S1<=S2;信号的代入可以附加延时例如:signalcount:bit:=‘0’after10ns;信号可以在程序中被重新赋值4.2运算操作符按优先级的顺序依次(低到高)为:逻辑运算符(Logical)关系运算符(Relational)并置运算符(Concatenation)算术运算符(Arithmetic)。要求操作数的类型必须和操作符所要求的类型一致。4.2.1逻辑运算符(Logical)1、逻辑运算符(Logical)2、逻辑运算符的操作对象“STD_L

6、OGIC”;“BIT”;“STD_LOGIC_VECTOR”;“布尔量”(Boolean)没有优先级差别,按自左至右的优先级顺序运算。例:下式去掉括号就会产生错误:X<=(AANDB)OR(NOTCANDD);去掉括号:X<=AANDBORNOTCANDD;以上两式的运算次序是完全不同的。逻辑运算符常用于书写逻辑表达式例:entityand2isport(a,b,c,d:inbit;q:outbit);endand2;architecturertlofand2isbeginq<=(aandb)or(cnandd);endrtl;

7、4.2.2关系运算符(Relational)1、关系运算符(Relational)2、操作对象1)“=”和“/=”适用于所有类型的数据。2)其它关系运算符则适用于“STD_LOGIC”;“INTEGER”;“REAL”;“STD_LOGIC_VECTOR”比较时,按自左至右的比较结果作为运算结果。例:下式比较就会产生错误X<=“1010”;——10Y<=“111”;——7IF(X>Y)THEN…上式比较结果为Y>X。显然错误。关系运算符常用于条件的判断例:最大值选取libraryieee;useieee.std_logic_11

8、64.all;entitymaxisport(a,b:instd_logic_vector(3downto0);q:outstd_logic_vector(3downto0));endmax;architecturertlofmaxisbeginq<=awhen

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。