MAX+plusⅡ,EDA实验五

MAX+plusⅡ,EDA实验五

ID:40560007

大小:116.50 KB

页数:6页

时间:2019-08-04

MAX+plusⅡ,EDA实验五_第1页
MAX+plusⅡ,EDA实验五_第2页
MAX+plusⅡ,EDA实验五_第3页
MAX+plusⅡ,EDA实验五_第4页
MAX+plusⅡ,EDA实验五_第5页
资源描述:

《MAX+plusⅡ,EDA实验五》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、310316070202王伟民实验五VHDL时序电路设计一、实验目的1、熟练掌握MAX+plusⅡ的基本操作步骤。2、掌握VHDL设计时序电路的方法。二、实验内容1、用VHDL完成各种D触发器的设计,掌握边沿触发和电平触发的区别、异步清零和同步清零的区别。2、用VHDL完成4位二进制计数器的设计。3、用VHDL完成十进制计数器的设计。三、实验步骤1、建立新的输入文件:File/New…,选择输入方式(.pdf)2、保存文件,确定工程File/Project/SetProjecttoCurrentFile(设计过程中时刻注意标题栏路

2、径的指向是否正确)。3、编辑源程序。(1)异步清零D触发器ENTITYdff_1ISPORT(d:INSTD_LOGIC;clk:INSTD_LOGIC;clr:INSTD_LOGIC;q:OUTSTD_LOGIC);ENDdff_1;ARCHITECTUREbehavOFdff_1ISBEGINPROCESS(clk,clr,d)BEGINIFclr='1'THENq<='0';ELSIFclk'EVENTANDclk='1'THENq<=d;ENDIF;ENDPROCESS;ENDbehav;(2)同步清零D触发器LIBRAR

3、YIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYdff_2ISPORT(d:INSTD_LOGIC;clk:INSTD_LOGIC;clr:INSTD_LOGIC;q:OUTSTD_LOGIC);ENDdff_2;ARCHITECTUREbehavOFdff_2ISBEGINPROCESS(clk)BEGINIFclk'EVENTANDclk='1'THENIFclr='1'THENq<='0';ELSEq<=d;ENDIF;ENDIF;ENDPROCESS;ENDbehav;(3)4位二进制计数器L

4、IBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYcnt4ISPORT(clk:INSTD_LOGIC;clr:INSTD_LOGIC;q:BUFFERSTD_LOGIC_VECTOR(3DOWNTO0));ENDcnt4;ARCHITECTUREbehavOFcnt4ISBEGINPROCESS(clk,clr)BEGINIFclr='1'THENq<="0000";ELSIFclk'EVENTANDclk='1'THENq<=q

5、+1;ENDIF;ENDPROCESS;ENDbehav;(4)10进制计数器LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYcnt10ISPORT(clk:INSTD_LOGIC;clr:INSTD_LOGIC;q:BUFFERSTD_LOGIC_VECTOR(3DOWNTO0));ENDcnt10;ARCHITECTUREbehavOFcnt10ISBEGINPROCESS(clk,clr)BEGINIFclr='1'THE

6、Nq<="0000";ELSIFclk'EVENTANDclk='1'THENIFq<=8THENq<=q+1;ENDIF;ENDIF;ENDPROCESS;ENDbehav;1、指定器件Assign/Device…2、编译。MAX+plusⅡ/Compiler…或File/Project/Save&Compiler…3、时序仿真①创建波形文件File/New…(.scf)②设置时间File/Endtime…,设置网格间距Options/Gridsize…③调输入/输出点Node/EnterNodesfromSNF…或窗口右击。④

7、给输入赋值,波形编辑。⑤仿真MAX+plusⅡ/simulator…⑥观察分析波形。1、引脚分配Assign/pin/Location/Chip…或MAX+plusⅡ/FloorplanEditor…2、延时分析TimingAnalyzer…3、实验箱连线,打开电源。4、下载MAX+plusⅡ/programmer…,观察结果。5、创建功能模块File/CreateDefaultSymbol…一、实验结论通过全加器这个实验,我基本掌握MAX+plusⅡ原理图输入的方法和基本步骤。并熟悉了MAX+plusⅡ的界面。MAX+plusⅡ

8、功能强大,易操作,是一个很好的数字电路设计软件,我们应好好学习它。我们需要注意的是:建立新的文件后,选择text输入方式(.vhd),并随时注意保存文件,防止意外断电文件丢失。一定要确定工程File/Project/SetProjecttoCurr

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。