基于FPGA的QPSK调制电路设计

基于FPGA的QPSK调制电路设计

ID:40917945

大小:420.26 KB

页数:4页

时间:2019-08-10

基于FPGA的QPSK调制电路设计_第1页
基于FPGA的QPSK调制电路设计_第2页
基于FPGA的QPSK调制电路设计_第3页
基于FPGA的QPSK调制电路设计_第4页
资源描述:

《基于FPGA的QPSK调制电路设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第17卷第2期琼州学院学报2010年4月28日Vo.l17No.2JournalofQiongzhouUniversityApr.28.2010基于FPGA的QPSK调制电路设计孙志雄,石焕玉(琼州学院电子信息工程学院,海南三亚572022)摘要:随着FPGA技术的发展,数字通信技术与FPGA的结合体现了现代数字通信系统发展的一个趋势.文中介绍了MPSK调制电路的原理,并基于FPGA实现了QPSK调制电路.QuartusII环境下的仿真结果表明设计方案是可行的.关键词:QPSK;FPGA;调制中图分类号

2、:TN914文献标识码:A文章编号:1008-6722(2010)02-0010-04在数字调制系统中,数字信号对载波的调制与模拟信号对载波的调制类似,数字信号对载波振幅调制称为振幅键控(ASK),对载波频率调制称为频移键控(FSK),对载波相位调制称为相移键控(PSK).根据所处理的基带数字信号进制的不同分为二进制和多进制调制.多进制数字调制与二进制相比,其频谱利用率更高,其中QPSK是多进制相移键控(MPSK)中应用广泛的一种调制方式.1MPSK简介MPSK是多进制移相键控,它利用具有多个相位

3、状态的正弦波来代表多组二进制信息码元,即用载波的k一个相位对应于一组二进制信息码元.如果载波有2个相位,它可代表k位二进制码元的不同组合.n2在MPSK信号中,载波相位可取M个可能值,n=,n=0,1,,M-1.因此MPSK信号可表示为Mn2MPSK(t)=Acos(!0t)+n)=Acos(w0t+)M例如,当M=4时,即为QPSK,QPSK信号有00、01、10、11四种状态,此时可用载波的四种相位表示.所以,对于输入的二进制序列,首先必须分组,每两位码元一组.然后根据其组合情况,用载波的四种相

4、位表征它们.QPSK信号实际是两路正交双边带信号,因此,可由图1所示方法产生.串行输入的二进制码,每两位分成一组,若前一位用A表示,后一位用B表示,经串/并变换后变成宽度加倍的并行码,A、B码元在时间上对齐.再分别进行极性变换,把单极性码变成双极性码,然后与载波相乘,形成正交的双边带信号,加法器输3出形成QPSK信号.即用QPSK信号的四种相位0=0,1=,2=,3=分别表示数字基带信号00、01、2210、11的四种状态.2QPSK调制电路的VHDL设计及仿真VHDL是超高速集成电路硬件描述

5、语言,其以强大的系统描述能力、规范的程序设计结构、灵活的语言表达风格和多层次的仿真测试手段,在电子设计领域受到了普遍的认同和广泛的接受,称为EDA领域的首先硬件描述语言.下面利用VHDL对QPSK调制电路进行设计,并基于QuartusII环境进行仿真.2.1基于VHDL的QPSK调制电路方框图串行输入的二进制数字基带信号通过串/并转换器得到2位并行信号,其四种状态00、01、10、11控制四选一开关,分别选择载波对应的相位进行输出,即得到QPSK调制信号,调制框图如图2所示.收稿日期:2009-12-

6、09作者简介:孙志雄(1974-),男,海南屯昌人,琼州学院电子信息工程学院副教授,主要研究方向为电子与通信技术;石焕玉(1962-),男,海南澄迈人,琼州学院电子信息工程学院教授,主要研究方向为电子与信息技术.基金项目:琼州学院青年教师科研基金项目(QY200913),三亚市院校专项资金项目(YD09017)第2期孙志雄,石焕玉:基于FPGA的QPSK调制电路设计11图1QPSK信号的产生图2QPSK调制电路方框图2.2QPSK调制电路的VHDL程序及仿真结果根据

7、QPSK调制电路方框图2,利用VHDL语言进行设计,其主要源程序如下.libraryieee;useieee.std_logic_1164.al;l实体定义:定义输入输出端口信号,包括系统时钟clk、数字基带信号b、使能控制信号en和调制输出信号mentityqpskisport(clk:instd_logic;en:instd_logic;b:instd_logic;m:outstd_logic);endqpsk;结构体描述architecturebehavofqpskis12琼州学院学报(第1

8、7卷)2010signalq:integerrange0to7;signalbb:std_logic_vector(1downto0);signalmm:std_logic_vector(1downto0);signal:fstd_logic_vector(3downto0);begin进程描述,完成基带信号的串并转换,并通过对clk分频得到QPSK调制的四路信号process(clk)begini

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。