实验五数字电路系统实验

实验五数字电路系统实验

ID:42586044

大小:44.74 KB

页数:3页

时间:2019-09-18

实验五数字电路系统实验_第1页
实验五数字电路系统实验_第2页
实验五数字电路系统实验_第3页
资源描述:

《实验五数字电路系统实验》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验五数字电路系统实验——设计一个方波信号输出电路一、实验目的1.设计一个方波信号产生电路,并在实验装置上验证所设计的电路;2.建立自顶向下的设计思路。二、实验要求设计一个占空比为20%、周期为1S的方波信号,并用末位数码管进行指示——当方波信号为高电平时,数码管显示2;当方波信号为低电平时,数码管显示8。三、实验方案占空比为20%,周期为1S的方波,可分为0.2S的高电平和0.8S的低电平;可设计一个计数器,当计数值小于999999输出高电平,当计数值大于999999小于4999999输出低电平,大于4999999后清零重新计时

2、。数码管显示模块用组合逻辑电路组成,当分频计数器高电平时输出数码“2”,低电平时输出数码管“8”。四、实验步骤1.建立新的工程2.建立计数分频结构div.vhdlibraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitydivisport(clk:instd_logic;divout:outstd_logic;public:outstd_logic);end;architectureoneofdivissignalcnt:std_logic

3、_vector(9downto0);signalclk_temp:std_logic;constantm:integer:=1000;--1Mdivbeginprocess(clk)variables:std_logic;beginifclk'eventandclk='1'then--上升沿ifcnt=mthenclk_temp<=notclk_temp;cnt<=(others=>'0');elsecnt<=cnt+1;--计数clk_temp<=clk_temp;--反转endif;endif;divout<=clk_temp

4、;s:='1';public<=s;endprocess;end;3.建立数码管显示结构:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityLEDisport(number:instd_logic;ledout:outstd_logic_vector(7downto0));end;architectureu1ofLEDisbeginwithnumberselectledout<="01011011"when'1',--高电平显示“

5、2”"01111111"when'0';--低电平显示“8”end;4.顶层设计中将分频计数实体和数码管显示实体相连接;5.配置引脚,编译下载。五、实验结果下载完成后,可以看到数码管交替显示“2”“8”,周期大约为1S。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。