基于FPGA的VGA显示详解(附VHDL代码)

基于FPGA的VGA显示详解(附VHDL代码)

ID:43548548

大小:82.72 KB

页数:11页

时间:2019-10-10

基于FPGA的VGA显示详解(附VHDL代码)_第1页
基于FPGA的VGA显示详解(附VHDL代码)_第2页
基于FPGA的VGA显示详解(附VHDL代码)_第3页
基于FPGA的VGA显示详解(附VHDL代码)_第4页
基于FPGA的VGA显示详解(附VHDL代码)_第5页
资源描述:

《基于FPGA的VGA显示详解(附VHDL代码)》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、基于FPGA的VGA显示(后附VHDL代码)整个VGA的时序操作很简单,就是形成一个具有一定占空比的电平周期。只是整个VGA的操作涉及到一些专有名词,理解上比较困难,一旦明白了这些是什么意思后,操作即将变得很简单。VGA工作流程:常见的彩色显示器,一般由CRT(阴极射线管)构成,彩色是由R、G、B(红、绿、蓝)三基色组成,CRT用逐行扫描或隔行扫描的方式实现图像显示,由VGA控制模块产生的水平同步信号和垂直同步信号控制阴极射线枪产生的电子束,打在涂有荧光粉的荧光屏上,产生R、G、B三基色,合成一个彩色像素。扫描从屏幕的左上方开始,由左至右,由上到下,逐行进行扫描,每扫完一行,

2、电子束回到屏幕下一行的起始位置,在回扫期间,CRT对电子束进行消隐,每行结束是用行同步信号HS进行行同步;扫描完所有行,再由场同步信号VS进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,预备下一场的扫描。行同步信号HS和场同步信号VS是两个重要的信号。注意点:什么时候消隐?为什么要消隐?当一行扫描完毕后然后电子枪又转到下一行的这段时间或是扫描完所有的行后电子枪回到第一行的这段时间,这两段时间都要消隐。在消隐的时间内,数据是无效的。这样就保证电子枪的回扫的个动作不干扰显示,因为回扫这个动作是很频繁的,若在这个时间段内数据有效,那么就回在显示屏上出现电子枪回扫的轨迹。消隐的

3、时候我们干什么?消隐这个动作是显示屏(CRT)执行的,我们在编程时只要注意有这么个东西就行。同步信号(包括HS和VS)是什么?这个就相当于一个数据起始信号,表明数据马上就要开始了。如果撇开具体的设备,那么这个信号和AD、DA中常用的Sync(同步)、CS(片选)信号相当。该信号一般为负电平,但对于有的显示器可不关心该信号的极性,因为它内部可自动转换正负逻辑。对于普通的VGA显示器,需要引出5个信号:R,G,B:三原色;HS:行同步信号;VS:场同步信。对于VGA显示器的上述五个信号的时序驱动要严格遵循“VGA工业标准",即640x480x60HZ模式,否则无法显示正确地图象。

4、VGA工业标准要求的频率:时钟频率:25.175MHz(像素输出的频率)行频:31469Hz(即行信号HS的频率)场频:59.94Hz(即场信号VS的频率)(每秒图像刷新频率)Ta:水平同步脉冲,这里为负电平,96个时钟周期Tb+Tc:行消隐后沿时间,高电平,48个时钟周期Td:视频数据有效时间,高电平,646个时钟周期Tg;行周期时间。Tg二Ta+Tb+Tc+Td+Te+Tf二800个时钟周期。场扫描时序图:GRB场消隗VSTd下一场图像各个参数意义和时间长度(单位是行周期)Ta:垂直同步脉冲,这里为负电平,:列消隐后沿时间,高电平,:视频数据有效时间,高电平,+Tf:列消

5、隐前沿时间,高电平,Tb+TcTdTe2个行周期32个行周期480个行周期11个行周期Tg;场视频周期。Tg二Ta+Tb+Tc+Td+Te+Tf二524个行周期。观察电平情况,可以发现这个两个吋序本质上就是由一个低电平(同步信号)加一个高电平(前沿+后沿+数据有效时间)构成。所以,编写这个时序的时候,只要按照上述的时间要求构成这么一个占空比的周期就可以。当时钟频率不是25MHz时,我们只要把以上的参数按比例适当调整即可。我们给出不同时钟频率下的时间参数。表1水平时序分1許速像a步冲沿<1效时间IH沿檢K640/4806025964864016800640/4807231401

6、2864024832800/60056367212880024102480(¥600604012888800401056800/6007250120614800561040表2垂頁时序分辨丰■a行H步冲沿效时间沿恤长644)/4*060312324801152564O/4M07226284809520別卅/6005628122600162580()/60060264236001628800/600722062360037666一vg&显示1$制信号(Hmynu.Vsynu)产生模块—格式是800»600*60#用40N像丢期率libraryieee;useieee・scdlo

7、gic1164.all:useleee・std_logic_ar1th.all;useleee•stdlogicunsigned.all;entityvgaisgeneric(frawlen:Integer:-8);--数为fraw丄en-1port(clkin,resetm:instd^logic;一时卡中'更位信号输入hsync9vsync:outscd^logic;vga控常」信昌扳中r#g#b:outstd_logic;一色彩输出key_b:mstd_logic_vector(2dovnto0)

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。