EDA技术与应用讲义_第8章_有限状态机设计

EDA技术与应用讲义_第8章_有限状态机设计

ID:44367843

大小:1014.00 KB

页数:23页

时间:2019-10-21

EDA技术与应用讲义_第8章_有限状态机设计_第1页
EDA技术与应用讲义_第8章_有限状态机设计_第2页
EDA技术与应用讲义_第8章_有限状态机设计_第3页
EDA技术与应用讲义_第8章_有限状态机设计_第4页
EDA技术与应用讲义_第8章_有限状态机设计_第5页
资源描述:

《EDA技术与应用讲义_第8章_有限状态机设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、11考试内容:前六章考试形式:闭卷考试“考核方法:平时成绩20%;实验课操作40%;最后考试40%22复习要点基础知识:理解EDA基本概念,常见的可编程逻辑器件的工作原理;Verilog语法:掌握模块、运算符、条件语句、循环语句、块语句的使用和设计方法,理解阻塞和非阻塞赋值区别;电路设计:理解组合逻辑和时序逻辑电路设计思想,掌握典型电路设计方法;33考试题型选择题填空题电路分析和小型的电路设计题电路设计题——一道大题基本概念EDA——电子设计自动化ASIC——专用集成电路ApplicationSp

2、ecificIntegratedCircuitFPGA——现场可编程门阵列FieldProgrammableGateArrayCPLD——复杂可编程器件ComplexProgrammableLogicDevice把用行为和功能层次描述的电子系统转换为低层次的具体的电路模块。(1)从自然语言转换到HDL语言算法表示,即自然语言综合;(2)从算法表示转换到寄存器传输级(RegisterTransportLevel,RTL),即从行为域到结构域的综合,即行为综合;(3)RTL级表示转换到逻辑门(包括触发

3、器)的表示,即逻辑综合;(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为结构综合。HDL综合PLD器件的分类--按结构特点基于乘积项结构的器件--阵列型PROM,EEPROM,PAL,GAL,CPLD基于查找表结构的器件--单元型FPGAPROM固定的“与”+可编程的“或”PLA可编程的“与”+可编程的“或”PAL可编程的“与”+固定的“或”CPLD基于乘积项可编程逻辑结构FPGA基于可编程的查找表LUT并位操作和数字表达4种进制表示形式:二进制整数(b或B

4、);十进制整数(d或D);十六进制整数(h或H);八进制整数(o或O)。数字表达表达方式说明举例<位宽>’<进制><数字>完整的表达方式8’b11000101或8’hc5<进制><数字>缺省位宽,则位宽由机器系统决定,至少32位hc5<数字>缺省进制为十进制,位宽默认为32位197注:这里位宽指对应二进制数的宽度。整常数的3种表达方式:不等式操作符关系运算符说明<<=>>=小于小于或等于大于大于或等于运算结果为1位的逻辑值1或0或x。关系运算时,若关系为真,则返回值为1;若声明的关系为假,则返回值

5、为0;若某操作数为不定值x,则返回值为x。所有的关系运算符优先级别相同。关系运算符的优先级低于算术运算符。移位操作符及其用法针对有符号数,右移时以符号位填补空位若V=8’b11001001操作符按位操作符是对操作数中的每一位分别进行操作,得出一个新的操作数,其具体的操作符如下所示。操作符表达式描述~~B将B中的每一位取反&A&B将A中的每位与B中对应的位相与

6、A

7、B将A中的每位与B中对应的位相或^A^B将A中的每位与B中对应的位异或~^A~^B将A中的每位与B中对应的位相异或非^~A^~B逻辑操作

8、符是对表达式中的操作数整体进行操作,得出一个新的一位宽的操作数。操作符表达式描述&&A&&BA,B是否都为真?

9、

10、A

11、

12、BA,B任意一个是否为真?!!BB是否为假14?:三目运算符,其定义方式如下:即:信号=条件?表达式1:表达式2;当条件成立时,信号取表达式1的值,反之取表达式2的值。条件运算符条件语句(if-else语句)if-else语句使用方法有以下3种:(1)if(表达式)语句1;(2)if(表达式)语句1;else语句2;(3)if(表达式1)语句1;elseif(表达式2)语句2;e

13、lseif(表达式3)语句3;……elseif(表达式n)语句n;else语句n+1;case语句的使用格式如下。case(敏感表达式)值1:语句1;//case分支项值2:语句2;……值n:语句n;default:语句n+1;endcasecase语句循环语句repeatloopinitialbeginfor(i=0;i<4;i=i+1)out=out+1;endinitialbeginrepeat(5)out=out+1;endinitialbegini=0;while(i<0)i=i+1;e

14、ndforloopwhileloop在Verilog中存在四种类型的循环语句,用来控制语句的执行次数。这四种语句分别为:(1)forever:连续地执行语句;多用在“initial”块中,以生成时钟等周期性波形。(2)repeat:连续执行一条语句n次。(3)while:执行一条语句直到某个条件不满足。(4)for:有条件的循环语句。for语句for语句的使用格式如下:for(循环变量赋初值;循环结束条件;循环变量增值)执行语句;(或begin_end块语句)repeat语句rep

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。