《VHDL硬件描述语言》PPT课件

《VHDL硬件描述语言》PPT课件

ID:45099120

大小:4.57 MB

页数:105页

时间:2019-11-09

《VHDL硬件描述语言》PPT课件_第1页
《VHDL硬件描述语言》PPT课件_第2页
《VHDL硬件描述语言》PPT课件_第3页
《VHDL硬件描述语言》PPT课件_第4页
《VHDL硬件描述语言》PPT课件_第5页
资源描述:

《《VHDL硬件描述语言》PPT课件》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、4.1VHDL概述4.2VHDL语言基础知识4.3VHDL的基本语句4.4VHDL的子程序第四章VHDL硬件描述语言4.1VHDL概述一、VHDL语言及产生背景二、VHDL的特点4.1VHDL概述一、VHDL语言及产生背景VHDL(VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage)即超高速集成电路硬件描述语言。美国国防部在20世纪80年代初为其超高速集成电路VHSIC计划提出的硬件描述语言,它是硬件设计者和EDA工具之间的界面。设计者使用HDL来描述自己的设计,并把这个描述告诉EDA工具,最后

2、在EDA工具的帮助下进行详细地设计及验证。4.1VHDL概述产生背景:美国国防部电子系统项目有着众多的承包商,他们各自建立和使用自己的电路硬件描述语言,这就使得各公司之间的设计不能被重复利用,造成了信息交换和维护方面的困难。为解决此问题,20世纪80年代初美国国防部制定了VHDL,以作为各承包商之间提交复杂电路设计文档的一种标准方案。1987年12月,VHDL被正式接受为国际标准,编号为IEEEStd1076-1987,即VHDL’87。1993年被更新为IEEEStd1164-1993,即VHDL’93。4.1VHDL概述功能强大,描述力强。可移植性好。研

3、制周期短,成本低。可以延长设计的生命周期。具有向ASIC移植的能力。二、VHDL的特点4.1VHDL概述三、VHDL和VerilogHDL的比较1.一般的硬件描述语言可以在三个层次上进行电路描述,其描述层次依次可分为行为级、RTL级和门电路级。Verilog属于RTL级硬件描述语言,通常只适用于RTL级和更低层次的门电路级描述。VHDL更适合于行为级(也包括RTL级)的描述,也称行为描述语言。2.由于任何一种硬件描述语言源程序最终都要转换成门电路级才能被布线器或适配器所接受,Verilog语言源程序的综合过程稍简单,只要经过RTL门电路级的转化即可;而VHD

4、L语言源程序的综合则通常要经过行为级RTL门电路级的转化方可。4.1VHDL概述3.VHDL语言是一种高级描述语言,适用于电路高级建模,比较适合于CPLD/FPGA目标器件的设计,或间接方式的ASIC设计;而Verilog语言则是一种较低级的描述语言,更适用于描述门级电路,易于控制电路资源,因此更适用于直接的集成电路或ASIC设计。4.VHDL和Verilog语言的共同特点是:能形式化地抽象表示电路的结构和行为,支持逻辑设计中层次与领域的描述,可借用高级语言的精巧结构来简化电路的描述,具有电路仿真与验证机制以保证设计的正确性,支持电路描述由高层到低层的综合转

5、换,便于文档管理,易于理解和设计重用。4.1VHDL概述5.VHDL和Verilog语言的主要区别在于逻辑表达的描述级别。VHDL虽然也可以直接描述门电路,但这方面的能力却不如Verilog语言,而Verilog语言在高级描述方面不如VHDL语言。VHDL语言更适合于描述电路的行为,其先进行行为描述,然后由综合器根据功能要求来生成符合要求的电路网表;Verilog语言的描述风格接近于电路原理图,从某种意义上说,它是电路原理图的高级文本表示方式。6.VHDL语言描述层次较高,不易控制底层电路,因而对VHDL综合器的综合性能要求较高。只要熟悉基本单元电路的描述风

6、格,综合后的电路还是易于控制的。在Verilog设计中,工作量通常比较大,因为设计者需要搞清楚具体电路的细节。目前,大多数软件都支持VHDL和Verilog语言混合设计.4.2VHDL语言基础知识一、VHDL的程序结构二、VHDL的语言元素4.2VHDL语言基础知识一、VHDL的程序结构五个部分实体(Entity)结构体(Architecture)配置(Configuration)程序包(Package)库(Library)可选实体和结构体是VHDL设计文件的两个基本组成部分。实体描述设计系统的外部接口信号.结构体用于描述系统的行为、系统数据的流程或者系统组

7、织结构形式(即系统的内部电路)。配置用于从库中选取所需元件安装到设计单元的实体中。包集合存放各设计模块能共享的数据类型、常数、子程序等。库用于存放已编译的实体、结构体、包集合和配置。4.2VHDL语言基础知识LIBRARY库名;--库USE库名.程序包名.;--程序包ENTITY实体名IS--实体PORT(……);END实体名;ARCHITECTURE结构体名OF实体名--结构体(………)END结构体名;VHDL源文件基本格式4.2VHDL语言基础知识VHDL源程序基本格式举例:ENTITYtestISPORT(input_pin_name:INbit;ou

8、tput_pin_name:OUTbit);ENDt

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。