第7章 宏功能模块的应用.ppt

第7章 宏功能模块的应用.ppt

ID:49057506

大小:2.16 MB

页数:73页

时间:2020-01-30

第7章  宏功能模块的应用.ppt_第1页
第7章  宏功能模块的应用.ppt_第2页
第7章  宏功能模块的应用.ppt_第3页
第7章  宏功能模块的应用.ppt_第4页
第7章  宏功能模块的应用.ppt_第5页
资源描述:

《第7章 宏功能模块的应用.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、第7章宏功能模块的应用【学习目标】通过本章学习学生应了解宏功能模块LPM的含义及应用。重点掌握利用宏功能模块设计存储器、先入先出(FIFO)双口缓冲器、乘法器、嵌入式锁相环和正弦波信号发生器的方法;了解IP核的概念以及分类和复用。7.1宏功能模块概述宏功能模块LPM:是参数可设置模块库的英语缩写(LibraryofParameterizedModules),这些可以以图形或硬件描述语言模块形式方便调用的宏功能块,使得基于EDA技术的电子设计的效率和可靠性有了很大的提高。7.1宏功能模块概述Altera公司提供的宏功能模块与LPM函数主要有:●算术组件:包括累加器、加法器、乘法器和L

2、PM算术函数。●门电路:包括多路复用器和LPM门函数。●I/O组件:包括时钟数据恢复(CDR)、锁相环(PLL)、双数据速率(DDR)、千兆位收发器块(GXB)、LVDS接收器和发送器、PLL重新配置和远程更新宏功能模块。●存储器编译器:包括FIFOPartitioner、RAM和ROM宏功能模块。●存储组件:包括存储器、移位寄存器宏模块和LPM存储器函数。7.2存储器设计7.2.1ROM设计在QuartusⅡ中能接受的初始化数据文件有两种:①MemoryInitializationFile(.mif)格式②Hexadecimal(Intel-Format)File(.hex)格式

3、7.2存储器设计7.2.1ROM设计1.建立.mif格式首先在硬盘上建立一个工作文件夹,然后建立工程文件LMP_ROM并存放在该工作文件夹中。在QuartusⅡ主界面下单击“File”菜单选择“New”命令,并在“New”对话框中单击“Otherfiles”页面,如图7.1所示。7.2存储器设计7.2.1ROM设计图7.1选择数据文件7.2存储器设计图7.2设置数据图7.3数据表7.2.1ROM设计7.2存储器设计7.2.1ROM设计填入数据后如图7.4所示图7.4填入数据7.2存储器设计7.2.1ROM设计用鼠标右键单击窗口边缘的地址栏弹出格式选择窗口,可以从中选择不同的地址格式

4、和数据格式。表中任意数据对应的地址为左列数和顶行数之和。例如,表中任意一个数据87对应的地址为左列16,顶行3,则地址为16+3=19,若用16进制表示为13H,表示存储器地址为13H内的数据为87。完成数据输入后,保存文件并命名名为“rom.mif”。7.2存储器设计7.2.1ROM设计2.制定ROM模块步骤如下:(1)在QuartusⅡ主界面下单击“Tools”菜单,选择“MegaWizardPlug_InMange”命令,弹出如图7.5所示对话框。7.2存储器设计图7.5创建新的宏模块7.2.1ROM设计7.2存储器设计图7.6选择ROM宏模块7.2.1ROM设计Memory

5、Compliler7.2存储器设计图7.7设置ROM的地址线位宽和数据线位7.2.1ROM设计图7.8寄存器、使能信号等设置数据位宽为8数据个数存储器类型:AUTO7.2存储器设计7.2.1ROM设计(3)单击“Next”按钮后,弹出如图7.9所示。的对话框进行数据文件的指定,在“Doyouwantto....”一栏中选择“Yes,usethisfileforthememorycontentdata”选项并单击“Browse”按钮选择待指定的文件“rom.mif”。7.2存储器设计图7.9指定数据文件并命名7.2存储器设计7.2.1ROM设计单击“Next”按钮后弹出如图7.10所

6、示的对话框,从而可以看到仿真库的信息。图7.10仿真库的信息7.2存储器设计7.2.1ROM设计单击“Next”按钮后,可以看到关于此ROM的信息概要,最后单击“Finish”按钮完成ROM的创建。图7.117.2存储器设计7.2.1ROM设计(4)ROM创建完成后,将生成的文件为rom.vhd(既可以用于原理图编辑也可以用于顶层文件的实例化),将ROM文件夹中的rom.vhd打开,其代码如例7.1所示。【例7.1】ROM的VHDL源代码LIBRARYieee;USEieee.std_logic_1164.all;LIBRARYlpm;USElpm.all;ENTITYroomIS

7、PORT(address:INSTD_LOGIC_VECTOR(5DOWNTO0);inclock:INSTD_LOGIC;outclock:INSTD_LOGIC;q:OUTSTD_LOGIC_VECTOR(7DOWNTO0));ENDroom;7.2存储器设计7.2.1ROM设计ARCHITECTURESYNOFroomISSIGNALsub_wire0:STD_LOGIC_VECTOR(7DOWNTO0);COMPONENTlpm_romGENERIC(inte

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。