Verilog中的parameter与localparam.doc

Verilog中的parameter与localparam.doc

ID:49771314

大小:25.17 KB

页数:2页

时间:2020-03-04

Verilog中的parameter与localparam.doc_第1页
Verilog中的parameter与localparam.doc_第2页
资源描述:

《Verilog中的parameter与localparam.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、parameter与localparam语法(Verilog-2001):module[module_name]#(parameter[parameter_name]=[default_value],parameter[parameter_name]=[default_value],……parameter[parameter_name]=[default_value])(//IO_portdeclaration);例化:Module_name#(.parameter_name(value),.parameter_na

2、me(value),……Parameter_name(value))inst_name(//IO_portMAP);Verilog_1995在头部生命之后声明,即先声明IO_port,后声明parameter,且只能按照顺序列表或者defparam来重新定义parameter的值module[module_name](//IO_portdeclaration);parameter[parameter_name]=[default_value];parameter[parameter_name]=[default_va

3、lue];……parameter[parameter_name]=[default_value];例化:module_name#(parameter1_value,parameter2_value,……parameter3_value)inst_name(//IO_portMAP);或者defparaminst_name.[parameter1]=[value];defparaminst_name.[parameter2]=[value];……defparaminst_name.[parameterN]=[value

4、];module_nameinst_name(//IO_portMAP);在Verilog_1995标准中没有localparam,常量必须被声明为parameter,尽管它不应该被定义localparam(常量),定义在模块的内部,且可利用parameter来定义localparam,但不可以直接用来传递

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。