数字实验箱与QuartusII使用.pdf

数字实验箱与QuartusII使用.pdf

ID:50159383

大小:5.87 MB

页数:55页

时间:2020-03-08

数字实验箱与QuartusII使用.pdf_第1页
数字实验箱与QuartusII使用.pdf_第2页
数字实验箱与QuartusII使用.pdf_第3页
数字实验箱与QuartusII使用.pdf_第4页
数字实验箱与QuartusII使用.pdf_第5页
资源描述:

《数字实验箱与QuartusII使用.pdf》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、电子技术基础实验(二)2.0QuartusII与数字电路实验箱哈尔滨工程大学信息与通信工程学院电子技术基础教研室AlteraQuartusII安装QuartusII使用SZ-1数字电路实验箱帮助2.0.1.2014091800目录Altera公司与QuartusII简介QuartusII安装与破解QuartusII使用工程建立设计输入与编译仿真器件选择与管脚分配硬件设置与下载SZ-1数字电路实验箱目录选择进入学习内容QuartusII简介Quartus®II是Altera公司的综合性PLD/FPGA开

2、发软件,支持原理图、VHDL、VerilogHDL等多种设计输入形式,内嵌自有的综合器及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程;2014年,QuartusII最新版本是v14.0;Altera公司是可编程逻辑解决方案的倡导者,是全球最大的可编程逻辑器件供应商之一;AlteraisheadquarteredinSanJose,California,andemploysmorethan3,000peopleinover20countries.更多资讯浏览:http://www.altera.comQuartu

3、sII的安装以QuartusII9.0为例QuartusII的常见版本:7.2,8.1,9.0,11.1,12.0,13.1,14.0等,可以在Altera公司网站查询;QuartusII的安装文件有2个:一个是QuartusII安装文件一个是破解文档双击setup.exe,一个劲的next然后。。。不同版本的安装大同小异QuartusII的破解参考“读我.txt”运行Quartus_II_9.0破解器.exe;点击“应用补丁”如果出现“未找到该文件。搜索该文件吗?”点击“是”选中sys_cpt.dll,点击“

4、打开”。安装默认的sys_cpt.dll路径是在C:altera90quartusbin下)将生成的license.dat保存把license.dat里的XXXXXXXXXXXX用您的网卡号替换(在QuartusII9.0的Tools菜单下选择LicenseSetup,下面就有NICID)。在Tools菜单下选择LicenseSetup,然后选择license.dat,最后点击OK。QuartusII的使用(1)建立Project(工程,项目)在E盘建立新的空白文件夹,命名为“adder”;强烈推荐:今后所有的

5、路径、文件、元件等各种命名、注释不出现汉字,仅由字母、下划线和数字构成!实验室电脑的C盘D盘装有还原卡,建议个人文件存放E盘F盘。以一个全加器为例介绍QII的使用。双击QII图标,打开QII开始你的创作之旅QuartusII的使用(1)建立工程的过程设计启动画面点击会有意外发现QuartusII的使用(1)建立工程ing…单击File→NewProjectWizard…找到你的工程文件夹路径为工程命名,键入full_adder点NextQuartusII的使用(1)建立工程……选CycloneII系列器件是EP2C5Q2

6、08C8点Next注:选择我们实验室的FPGA型号EP2C5Q208C8QuartusII的使用(1)建立工程……一路Next,最后FinishQuartusII的使用(1)建立的空白工程QuartusII的使用(2)建立图形文件以全加器为例:全加器是实现2个一位二进制数及低位来的进位相加(即将3个一位二进制数相加),求得和数及向高位进位的逻辑电路。全加器的真值表如下,其中A、B为要相加的数,Cin为来自低位的进位输入,S为和,Co为向高位的进位输出。ABCinCoS逻辑表达式0000000101S=AXORBXORCin

7、0100101110Co=AXORBCin+AB10001101101101011111QuartusII的使用(2)建立图形文件(.bdf)单击File→New…可以选择原理图输入或VerilogHDL或VHDL语言文件输入选择原理图输入点击OKQuartusII的使用(2)建立图形文件(.bdf)打开的原理图输入界面.bdf你双击我试试在此区域双击,可以调入元件、连接电路图QuartusII的使用(2)建立图形文件…全加器用到的逻辑元件有:异或门xor与门或门如:在Name栏输入xor点击OKS=AXORBXOR

8、CinCo=AXORBCin+ABQuartusII的使用(2)建立图形文件…双击空白处后分别输入xor异或门and2与门or2或门input输入管脚output输出管脚注:输入与门/或门时要注明有几个输入管脚S=AXORBXORCinCo=AXOR

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。