实验一quartusii使用与基本逻辑电路的设计

实验一quartusii使用与基本逻辑电路的设计

ID:28015865

大小:628.70 KB

页数:14页

时间:2018-12-07

实验一quartusii使用与基本逻辑电路的设计_第1页
实验一quartusii使用与基本逻辑电路的设计_第2页
实验一quartusii使用与基本逻辑电路的设计_第3页
实验一quartusii使用与基本逻辑电路的设计_第4页
实验一quartusii使用与基本逻辑电路的设计_第5页
资源描述:

《实验一quartusii使用与基本逻辑电路的设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、实验一QuartusII使用与基本選辑电路的设计[实验目的]1、熟悉QuartusII的文本输入方式,掌握其编辑、编译综合、仿真的操作方法;2、学习QuartusII环境下的编程下载及硬件测试方法;3、学习应用QuartusII完成基本时序电路设计;4、应用QuartusII完成基本组合电路的设计。*[实验仪器]PC机、EDA实验箱一台QuartusII6.0软件[实验内容](1)实验内容h在QuartusII上输入该设计的文本,并进行编辑、编译、综合、适配、仿真。说明设计屮各语句的作用,详细描述设计的功能特点,给出其所有信号的时序仿真

2、波形。(2)实验内容2:引脚锁定以及硬件下载测试。引脚锁定后进行编译、下载和硬件测试实验。将实验过程和实验结果写进实验报告。*(3)实验内容3:使用SignalTapII对此计数器进行实时测试,流程与要求参考4.3节。*(4)实验内容4:从设计中去除SignalTapII,要求全程编译后生成用于配罝器件EPCS1编程的压缩POF文件,并使用ByteBlasterll,通过AS模式对实验板上的EPCS1进行编程,最后进行验证。*(5)实验内容5:为此项设计加入一个可用于SignalTapII采样的独立的时钟输入端(采用时钟选择clock0

3、=12MHz,计数器时钟CLK分别选择256Hz、16384Hz、6MHz),并进行实时测试。[实验原理]数字逻辑电路中,根据逻辑功能的不同特点,可以把数字逻辑电路分成组合逻辑电路和时序逻辑电路两大类川。在组合逻辑电路屮,任意时刻的输出仅仅収决于该时刻的输入,与电路的原来状态无关:在吋序逻辑电路中,任一吋刻的输出信号不仅取决于当吋的输入信号,而且还取决于电路原来的状态,也就是与电路原来的状态有关。在数字系统中使用的最多的吋序电路要算是计数器了。计数器应用非常广泛,可以以用于对吋钟脉冲的计数,还可以用于分频、定吋、产生节拍脉冲和脉冲序列等

4、。在一些测试设备中也有很重要的作用。计数器是数字系统中的重要组成部分,本实验拟设计一个同步加计数的十进制计数器。要求设计具有如下功能:1、有同步吋钟使能(即只有在使能信号作用下才允许计数),2、异步清0(只要清零信号有效则计数器变为全0)功能。[实验步骤]一、建立设计项目文件夹并编辑文本文件建立和编辑一个图形文件,是数字系统或数字逻辑电路设计的第一步,该软件运行在WindowsNT或WindowsXP环境下。1、建立工作库文件夹首先利用Windows资源管理器在D盘新建一个文件夹,存放EDA实验的所有项目,可以取名为EDA,并在此文件夹

5、中再建一个文件夹,作为第一次实验的项FI文件夹,取名为cntlOb,(自建文件夹名由设计者自定义)。注意:文件夹名不能用中文,也最好不要用数字。本次实验建立的项目文件夹为:d:EDAcntlOb。然后点击Windows桌而上的.图标,进入QuartusII设计环境。2、编辑VHDL文本①打开QuartusII,选择菜单File~*New。在New窗口屮的DeviceDesignFiles屮选择硬IICe/ceDejrFtesOlhsrFiestlZtlQSEUZl.STXLOGIC11M.ILLJQ3Eltl;L001:::WS:C

6、Kn..DTT:TTOmcT:SKXT:

7、CLXrSt5TrDi:IK3T1MlCi件没计文件类型为VHDLFile,单击OK按钮后进入QuartusII文本编辑窗口。败FfeDayenScnerai};FleEDFFfc5OFCEiiJeiS/sl5fn•VenogHLFfe图1-1选择编辑文件的语言类型,键入源程序并存盘3、建立新工程项目如果选“是”则直接进入以刚保存的文件名为实体的创建工程流程;如在前一步操作屮选择“否”的话,可按下而的操作建立新工程项目。建立工程项目包拈工程名、目标器件、综合器、仿真器等的设置。(1)在Quar

8、tusII主窗口,选择菜单File-^NewProjectWizard命令,即弹出NewProjectWizard:Introduction窗口,点击Next按钮进入“工程设置”对话框(见图1-2),第一栏表示工程所在的工作库文件夹;第二栏表示此项工程的工程名,直接用顶层文本文件文件为工程名。本次实验将CNTlOb作为工程名。第三栏是当前工程文件的文件名。也可单击对话框右侧的“…”按钮,选屮已存盘的文件填入。单击下方的Next按钮。进入下一级设置。BTewProjectTizard:Direcrtory,Top—LevelEntity[

9、pagWhatistheworkingdirectoryforIhisprotect?JdacntiobQWhatisthenameofthisfiroject?(CNTIO…

10、Whatisthenameo

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。