EDA 与数字系统设计 第2版 教学课件 作者 李国丽 等编著 CH2ch2-3.ppt

EDA 与数字系统设计 第2版 教学课件 作者 李国丽 等编著 CH2ch2-3.ppt

ID:50321771

大小:55.00 KB

页数:18页

时间:2020-03-08

EDA 与数字系统设计 第2版 教学课件 作者 李国丽 等编著 CH2ch2-3.ppt_第1页
EDA 与数字系统设计 第2版 教学课件 作者 李国丽 等编著 CH2ch2-3.ppt_第2页
EDA 与数字系统设计 第2版 教学课件 作者 李国丽 等编著 CH2ch2-3.ppt_第3页
EDA 与数字系统设计 第2版 教学课件 作者 李国丽 等编著 CH2ch2-3.ppt_第4页
EDA 与数字系统设计 第2版 教学课件 作者 李国丽 等编著 CH2ch2-3.ppt_第5页
资源描述:

《EDA 与数字系统设计 第2版 教学课件 作者 李国丽 等编著 CH2ch2-3.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第二章VHDL硬件描述语言2.1VHDL概述2.2VHDL的数据类型和数据对象2.3VHD设计的基本语句2.4VHDL高级语句2.5VHDL设计实例2.3VHD设计的基本语句2.3.1并行信号赋值语句2.3.2条件赋值语句,WHEN-ELSE2.3.3选择信号赋值语句,WITH-SELECT2.3.4块(BLOCK)语句2.3.5IF-ELSE语句2.3.6CASE-WHEN语句2.3.7FOR-LOOP语句VHDL常用语句可以分为两大类并行语句和顺序语句。顺序语句必须放在进程中,顺序语句的执行方式按照语句的

2、前后排列的方式顺序执行的。结构体中的并行语句总是处于进程的外部,所有并行语句都是一次同时执行的,与他们在程序中排列的先后次序无关。常用的顺序语句有:信号赋值语句和变量赋值语句IF-ELSE语句CASE-WHEN语句FOR-LOOP常用的并行语句有:并行信号赋值语句,用“<=”运算符条件赋值语句,WHEN-ELSE选择信号赋值语句,WITH-SELECT方块语句,BLOCK2.3.1并行信号赋值语句信号赋值语句的功能是将一个数据或一个表达式的运算结果传送给一个数据对象,这个数据对象可以是内部信号,也可以是预定义

3、的端口信号。在进程中的信号赋值语句属于顺序语句,而在结构体中进程外的信号赋值语句则属于并行语句。2.3.1并行信号赋值语句例2-3-1用并行信号赋值语句描述逻辑表达式是Y=AB+CD的电路。ENTITYlogaISPORT(A,B,C,D:INBIT;Y:OUTBIT);ENDloga;--定义A,B,C,D是输入端口信号,Y是输出端口信号ARCHITECTUREstraOFlogaISSIGNALE:BIT;--定义E是内部信号BEGINY<=(AANDB)ORE;--以下两条并行语句与顺序无关E<=CX

4、ORD;ENDstra;2.3.2条件赋值语句,WHEN-ELSE条件赋值语句语法格式为:信号Y<=信号AWHEN条件表达式1ELSE信号BWHEN条件表达式2ELSE...信号N;在执行WHEN-ELSE语句时,先判断条件表达式1是否为TRUE,若为真,Y<=信号A,否则判断条件表达式2是否为TRUE,若为TRUE,Y<=信号B,依次类推,只有当所列的条件表达式都为假时,Y<=信号N。2.3.2条件赋值语句,WHEN-ELSE例2-3-2用条件赋值语句WHEN-ELSE实现的四选一数据选择器ENTITYmu

5、x4ISPORT(a0,a1,a2,a3:INSTD_LOGIC;s:INSTD_LOGIC_VECTOR(1DOWNTO0);y:OUTSTD_LOGIC);ENDmux4;ARCHITECTUREarchmuxOFmux4ISBEGINy<=a0WHENs=“00”else--当s=00时,y=a0a1WHENs=“01”else--当s=01时,y=a1a2WHENs=“10”else--当s=10时,y=a2a3;--当s取其它值时,y=a2ENDarchmux;2.3.3选择信号赋值语句,WITH-

6、SELECT语法格式为:WITH选择信号XSELECT信号Y<=信号AWHEN选择信号值1,信号BWHEN选择信号值2,信号CWHEN选择信号值3,...信号ZWHENOTHERS;WITH-SELECT语句不能在进程中应用,通过选择信号X的值的变化来选择相应的操作。当选择信号X的值与选择信号值1相同时,执行Y<=信号A,当选择信号X的值与选择信号值2相同时,执行Y<=信号B,只有当选择信号X的值与所列的值都不同时,才执行Y<=信号Z。2.3.3选择信号赋值语句,WITH-SELECT采用选择信号赋值语句WI

7、TH-SELECT实现的四选一数据选择器结构体:ARCHITECTUREarchmuxOFmux4ISBEGINWITHsSELECTy<=a0WHEN“00”,a1WHEN“01”,a2WHEN“10”,a3WHENOTHERS;ENDarchmux;2.3.4块(BLOCK)语句块语句可以看作是结构体中的子模块,它把实现某一特定功能的一些并发语句组合在一起形成一个语句模块。利用多个块语句可以把一个复杂的结构体划分成多个不同功能的模块,使复杂的结构体结构分明,功能明确,提高了结构体的可读性。块语句的格式为:

8、块标号:BLOCK说明语句BEGIN并行语句区ENDBLOCK块标号;2.3.5IF-ELSE语句其常用的格式为:格式一:IF条件表达式1THEN语句方块AELSIF条件表达式2THEN语句方块BELSIF条件表达式3THEN语句方块C:ELSE语句方块NENDIF格式二:IF条件表达式THEN语句方块AENDIF;格式三:IF条件表达式THEN语句方块AELSE语句方块BENDIF格式四:PROC

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。