EDA移位相加8位硬件乘法器电路设计.doc

EDA移位相加8位硬件乘法器电路设计.doc

ID:50742514

大小:222.00 KB

页数:10页

时间:2020-03-14

EDA移位相加8位硬件乘法器电路设计.doc_第1页
EDA移位相加8位硬件乘法器电路设计.doc_第2页
EDA移位相加8位硬件乘法器电路设计.doc_第3页
EDA移位相加8位硬件乘法器电路设计.doc_第4页
EDA移位相加8位硬件乘法器电路设计.doc_第5页
资源描述:

《EDA移位相加8位硬件乘法器电路设计.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、学号:课程名称:FPGA设计题目:移位相加8位硬件乘法器电路设计学生姓名:学院(系):信息科学与工程学院专业班级:10一、产品设计需求说明本产品实现的功能:比较方便地实现两个8位二进制数的乘法运算。设计参数使用的芯片/硬件平台GW48实验系统软件平台WindowsXP+MuxplusII10.1二、方案设计及实现1、系统实现原理和总体框图该乘法器是由8位加法器构成的以时序方式设计的8位乘法器。其乘法原理是:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若

2、为0,左移后以全零相加,直至被乘数的最高位。从下图可以清楚地看出此乘法器的工作原理。在下图中,START信号的上跳沿及其高电平有两个功能,即16位寄存器清零和被乘数A[7..0]向移位寄存器SREG8B加载;它的低电平则作为乘法使能信号。CLK为乘法时钟信号。当被乘数被加载于8位右移寄存器SREG8B后,随着每一时钟节拍,最低位在前,由低位至高位逐位移出。当为1时,与门ANDARITH打开,8位乘数B[7..0]在同一节拍进入8位加法器,与上一次锁存在16位锁存器REG16B中的高8位进行相加,其和

3、在下一时钟节拍的上升沿被锁进此锁存器。而当被乘数的移出位为0时,与门全零输出。如此往复,直至8个时钟脉冲后,乘法运算过程中止。此时REG16B的输出值即为最后的乘积。此乘法器的优点是节省芯片资源,它的核心元件只是一个8位加法器,其运算速度取决于输入的时钟频率。本设计采用层次描述方式,且用原理图输入和文本输入混合方式建立描述文件。下图是乘法器顶层图形输入文件,它表明了系统由8位右移寄存器(SREG8B)、8位加法器(ADDER8)、选通与门模块(ANDARITH)和16位锁存器(REG16)所组成,它

4、们之间的连接关系如下图所示。原理框图:锁存器寄存器加法器选通与门clk输入输出10移位相加硬件乘法器电路原理图2、主要模块之8位右移寄存器模块的设计模块说明:输入为clk,load和din,输出为qb。模块的主要功能是数据右移8位右移寄存器工作流程图输出最低位数据右移clk=’1’装载新数据开始load=’1’’结束YYNYN源代码:--File:sreg8b.vhd--Designer:谈鹏--Module:clock--Description:--Simulator:MAXplusII10.0.

5、9/WindowXP--Synthesizer:MAXplusII10.0.9/WindowXP10--Date:2011/05/02--Modifydate:2011/05/02LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYsreg8bISPORT(clk:INSTD_LOGIC;LOAD:INSTD_LOGIC;din:INSTD_LOGIC_VECTOR(7DOWNTO0);qb:OUTSTD_LOGIC);ENDsreg8b;ARCHITECTUR

6、EbehaveOFsreg8bISSIGNALreg8:STD_LOGIC_VECTOR(7DOWNTO0);BEGINPROCESS(clk,load)BEGINIFload='1'THENreg8<=din;ELSIFCLK'EVENTANDCLK='1'THENreg8(6DOWNTO0)<=reg8(7DOWNTO1);ENDIF;ENDPROCESS;qb<=reg8(0);ENDbehave;仿真图3、主要模块之8位加法寄存器模块的设计模块说明:输入为b,a,输出为s。模块的主要功能是实

7、现两个8位数的加法运算。s<=’0’&a+b结束8位加法寄存器的工作流程图开始10源代码:--File:adder8.vhd--Designer:谈鹏--Module:clock--Description:--Simulator:MAXplusII10.0.9/WindowXP--Synthesizer:MAXplusII10.0.9/WindowXP--Date:2011/05/02--Modifydate:2011/05/02LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.

8、ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYadder8ISPORT(b,a:INSTD_LOGIC_VECTOR(7DOWNTO0);s:OUTSTD_LOGIC_VECTOR(8DOWNTO0));ENDadder8;ARCHITECTUREbehaveOFadder8ISBEGINs<='0'&a+b;ENDbehave;仿真图4、主要模块之选通与门模块的设计模块说明:输入为abin和din,输出为dout。模块的主要功能是

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。