Booth乘法器实验报告.doc

Booth乘法器实验报告.doc

ID:50811452

大小:97.50 KB

页数:3页

时间:2020-03-14

Booth乘法器实验报告.doc_第1页
Booth乘法器实验报告.doc_第2页
Booth乘法器实验报告.doc_第3页
资源描述:

《Booth乘法器实验报告.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、运算器部件实验:Booth乘法器班级:软件工程一、实验目的理解并掌握乘法器的原理。二、实验原理Booth算法是一种十分有效的计算有符号数乘法的算法。算法的新型之处在于减法也可用于计算乘积。Booth发现加法和减法可以得到同样的结果。因为在当时移位比加法快得多,所以Booth发现了这个算法,Booth算法的关键在于把1分类为开始、中间、结束三种,如下图所示当然一串0或者1的时候不操作,所以Booth算法可以归类为以下四种情况:Booth算法根据乘数的相邻2位来决定操作,第一步根据相邻2位的4中情况来进行加或减操作,第二部仍然是将积寄存器右移,算法描述如下:(1)根

2、据当前为和其右边的位,做如下操作:00:0的中间,无任何操作;01:1的结束,将被乘数加到积的左半部分;10:1的开始,积的左半部分减去被乘数;11:1的中间,无任何操作。(2)将积寄存器右移1位。因为Booth算法是有符号数的乘法,因此积寄存器移位的时候,为了保留符号位,进行算术右移。同时如果乘数或者被乘数为负数,则其输入为该数的补码,若积为负数,则输出结果同样为该数的补码。三、实验步骤(1)打开QuartusII(2)将子板上的JTAG端口和PC机的并行口用下载电缆连接,打开试验台电源。(3)执行Tools→Programmer命令,将booth_multi

3、plier.sof下载到FPGA中。(4)在实验台上通过模式开关选择FPGA-CPU独立调试模式010.(5)将开关CLKSEL拨到0,将短路子DZ3短接且短路子DZ4断开,使FPGA-CPU所需要的时钟使用正单脉冲时钟。四、实验现象实验结果见下表:重复步骤被乘数(md)积(p)被乘数是(-7)10乘数是(8)100初始值100100000110011:00->nop10010000011002:积右移一位100100000011021:10->积=积-被乘数10010111001102:积右移一位100100111001131:11->nop10010

4、011100112:积右移一位100100011100141:01->积=积+被乘数10011010110012:积右移一位1001110101100重复步骤被乘数(md)积(p)被乘数是(-4)10乘数是(-5)100初始值110000001011011:10->积=积-被乘数11000100101102:积右移一位110000100101121:11->nop11000010010112:积右移一位110000010010131:01->积=积+被乘数11001101001012:积右移一位110011101001041:10->积=积-被乘数110

5、00010100102:积右移一位1100000101001五、具体代码实现端口声明:port(clk:instd_logic;md:instd_logic_vector(3downto0);mr:instd_logic_vector(3downto0);p:outstd_logic_vector(8downto0);final:outstd_logic);信号量声明:signalp_s:std_logic_vector(8downto0);signalcounter:std_logic_vector(3downto0):="0000";--counter具体算

6、法实现如下:process(clk)isbeginif(clk'eventandclk='1')then--时钟沿到来if(counter="0000")thenp_s(8downto0)<="0000"&mr(3downto0)&"0";--进行初始化操作elsif(counter(0)='0')thenp_s(8downto0)<=p_s(8)&p_s(8downto1);--计数为偶数时进行移位操作elsif(counter(0)='1')then--计数位奇数是进行加或减操作--由于计算过程中会进行移位操作,所以p_s(1downto0)始终表示当前位和

7、当前位的右边--一位if(p_s(1downto0)="10")thenp_s(8downto5)<=p_s(8downto5)+((notmd)+"0001");--减法操作elsif(p_s(1downto0)="01")thenp_s(8downto5)<=p_s(8downto5)+md(3downto0);--加法操作endif;endif;if(counter<"1001")then--计数器counter及final输出的操作counter<=counter+"0001";elsif(counter="1001")thenfinal<='1';co

8、unter<="0000

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。