verilog实现的8421BCD码加法器程序.doc

verilog实现的8421BCD码加法器程序.doc

ID:50812810

大小:26.50 KB

页数:2页

时间:2020-03-14

verilog实现的8421BCD码加法器程序.doc_第1页
verilog实现的8421BCD码加法器程序.doc_第2页
资源描述:

《verilog实现的8421BCD码加法器程序.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、8421BCD码加法器BCD码Binary-CodedDecimal,简称BCD,用4位二进制数来表示1位十进制数中的0~9这10个数码,简称BCD码,即BCD代码。日常所说的BCD码大都是指8421BCD码形式。8421BCD码表示形式1:0001   2:0010   3:00114:0100   5:0101    6:01107:0111    8:1000    9:10018421BCD码加法原理BCD码中1——9可以用四位二进制数0000——1001表示,而1010——1111这6个数为无效数。两个4位二进制数相加所得的结果超过1001,则将所得数加01

2、10,来跳过6个无效数,并产生一个进位。Verilog代码1modulebcd(cout,sum,ina,inb,cin);23inputcin;4input[3:0]ina,inb;5outputreg[3:0]sum;//等价于output[3:0]sum;reg[3:0]sum;6outputregcout;7reg[4:0]temp;89always@(ina,inb,cin)10begin11temp<=ina+inb+cin;12if(temp>9)13{cout,sum}<=temp+6;14else15{cout,sum}<=temp;16end171

3、8endmodule19

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。