ls芯片同步十进制计数器.doc

ls芯片同步十进制计数器.doc

ID:51010456

大小:70.00 KB

页数:5页

时间:2020-03-08

ls芯片同步十进制计数器.doc_第1页
ls芯片同步十进制计数器.doc_第2页
ls芯片同步十进制计数器.doc_第3页
ls芯片同步十进制计数器.doc_第4页
ls芯片同步十进制计数器.doc_第5页
资源描述:

《ls芯片同步十进制计数器.doc》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、74LS160芯片同步十进制计数器(直接清零) ·用于快速计数的内部超前进位 ·用于n位级联的进位输出 ·同步可编程序 ·有置数控制线 ·二极管箝位输入 ·直接清零 ·同步计数 本电路是由4个主从触发器和用作除2计数器及计数周期长度为除5的3位2进制计数器所用的附加选通所组成。有选通的零复位和置9输入。为了利用本计数器的最大计数长度(十进制),可将B输入同QA输出连接,输入计数脉冲可加到输入A上,此时输出就如相应的功能表上所要求的那样。LS90可以获得对称的十分频计数,办法是将QD输出接到A输入端,并把输入计数脉冲加到B输入端,在QA输出端处产生

2、对称的十分频方波。74160引脚图交流波形图: 图1时钟到输出延迟计数            图2主复位输出延迟,主复位             时钟频率,脉冲宽度                 脉冲宽度,和主复位恢复时间5/5状态图VHDL十进制计数器libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entitycount10isport(clk:instd_logic;        f:buff

3、erintegerrange0to15;      cout:outstd_logic);end;architectureaaofcount10isbeginprocess(clk)beginiffalling_edge(clk)theniff=9thenf<=0;cout<='1';elsef<=f+1;endif;elsenull;end5/5if;endprocess;end;十进制计数器VHDLlibraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useiee

4、e.std_logic_unsigned.all;--**************实体*****************entityshijinzhiisport(clk:instd_logic;reset:instd_logic;s:outstd_logic_vector(5downto0);out1:outstd_logic_vector(7downto0));endshijinzhi;--*****************结构体***********************architectureoneofshijinzhiissignal

5、clk_500:std_logic;--扫描时钟signalclk_1:std_logic;--1s时钟begin--*************500Hz分频程序********************process(clk)variablecnt1:integerrange0to200;variablecnt2:integerrange0to250;beginifclk'eventandclk='1'thenifcnt1=200thencnt1:=0;5/5ifcnt2=250thencnt2:=0;clk_500<=notclk_500;el

6、secnt2:=cnt2+1;endif;elsecnt1:=cnt1+1;endif;endif;endprocess;--***********1Hz分频程序和扫描信号产生********************process(clk_500)variablecnt3:integerrange0to250;beginifclk_500'eventandclk_500='1'thenifcnt3=250thencnt3:=0;clk_1<=notclk_1;elsecnt3:=cnt3+1;endif;endif;endprocess;--**

7、**************************************process(clk_1,reset)variablecount1:integerrange0to9;beginifreset='0'thencount1:=0;elsifclk_1'eventandclk_1='1'thenifcount1=9thencount1:=0;elsecount1:=count1+1;endif;endif;ifclk_500='1'thencasecount1isWHEN0=>s<="111110";out1<="10111111";WH

8、EN1=>s<="111110";out1<="10000110";WHEN2=>s<="111110";out1<="11011011

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。