数字逻辑电路设计课程设计多功能数字钟.doc

数字逻辑电路设计课程设计多功能数字钟.doc

ID:51790817

大小:105.00 KB

页数:11页

时间:2020-03-15

数字逻辑电路设计课程设计多功能数字钟.doc_第1页
数字逻辑电路设计课程设计多功能数字钟.doc_第2页
数字逻辑电路设计课程设计多功能数字钟.doc_第3页
数字逻辑电路设计课程设计多功能数字钟.doc_第4页
数字逻辑电路设计课程设计多功能数字钟.doc_第5页
资源描述:

《数字逻辑电路设计课程设计多功能数字钟.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、此文档收集于网络,如有侵权,请联系网站删除数字逻辑电路设计课程设计多功能数字钟学院:计算机科学与通信工程班级:物联网1101学号:3110611002姓名:朱一指导老师:金华提交日期:2012年6月17日此文档仅供学习与交流此文档收集于网络,如有侵权,请联系网站删除一.设计任务及要求:(1)、拥有正常的时、分、秒计时功能。(2)、能利用实验板上的按键实现校时、校分及秒清零功能。(3)、能利用实验板上的扬声器做整点报时。(4)、闹钟功能。(5)、在QuartusII中采用层次设计方法进行设计。(6)、完成全部电路设计后在设计板上下载,验证设计课题的正确性。二.功能简介:完成时钟的计时、调

2、整,整点报时等基础功能,完成闹钟的设置、开启和关闭功能,整点报时的开启和关闭功能,完成时间设定和显示功能。三.多功能数字钟的总体设计框图:时显示器分显示器秒显示器闹时设置清零校时校分时十位时个位分十位分个位秒十位秒个位扬声器译码器译码器译码器译码器译码器译码器时计数器分计数器秒计数器MUXMUX报时电路HADJHAOJSCLR基准频率分频器DASBSC1Hz4Hz64Hz500Hz闹钟电路SC四.各模块电路图及程序:设计方案:根据总体设计框图,可以将整个系统分为6个模块来实现,分别是计时模块、校时模块、整点报时模块、分频模块、动态显示模块.(1)计时模块此文档仅供学习与交流此文档收集于

3、网络,如有侵权,请联系网站删除该模块的设计相对简单,使用一个二十四进制和两个六十进制计数器级联,构成数字钟的基本框架。二十四进制计数器用于计时,六十计时器用于计分和计秒。只要给秒计数器一个1Hz的时钟脉冲,则可以正常计时。分计数器以秒计数器的进位作为计数脉冲,小时计数器以分计数器的进位作为计数脉冲。(2)校时模块校时模块设计要求实现校时、校分以及秒清零的功能。1按下校时键,小时计数器迅速递增以调至所需要的小时位。2按下校分键,分数计数器迅速递增以调至所需要的分位。3按下清零键,将秒计数器清零。可以选择实验板上的3个脉冲案件进行锁定。对于此模块的设计,有3个需要注意的问题:1在校分时,分

4、数计数器的计数不应对小时位产生影响,因而需要屏蔽此时分计数器的进位信号以防止小时计数器计数。2按键抖动的消除所谓“抖动”是指一次按键时的弹跳现象,通常实验板中按键所用的开关为机械弹跳开关,由于机械点的弹性作用,按键开关在闭合时并不能马上接通,而断开时也并不能马上断开,使得闭合及断开的瞬间伴随着一系列的电压抖动,从而导致本来一次按键,希望计数一次,结果因为抖动多次计数多次,且计数随机,这样就严重影响了时间的校对。3计数采用1Hz的脉冲驱动计数器计数,而校对时间对应选用相对高频率的信号驱动计数器以达到快速校对时间的目的。显然,这两种计数器脉冲之间需要进行相应的选择切换。于是将计时和校时模块

5、合起来的电路是实现示意图所示。两种脉冲信号用两路选择器进行选择,选择条件为是否按键。按键输出经过了消抖处理。二十四进制计数器六十进制计数器六十进制计数器2MUX2MUX消抖校时消抖校分1Hz高频计数脉冲分频多功能数字钟的计时和校时模块(3)整点报时模块该模块的功能要求是:计时到59分50秒时,每两秒一次低音报时,整点时进行高音报时,可以将报时信号接到实验板上的扬声器输出。而已不同频率的脉冲信号区分低音和高音报时。比如可以用500Hz信号进行低音报时,1kHz信号作为高音报时信号。此文档仅供学习与交流此文档收集于网络,如有侵权,请联系网站删除进行报时的条件是计数器计数至所需要的时间点,因

6、而需要实现一个比较模块,将分计数器和秒计数器的输出连至比较输入端完成比较过程。(4)分频模块在本系统中需要用到多种不同频率的脉冲信号,上至高音报时信号,下至1Hz的计时脉冲。所有这些脉冲信号均可以通过一个基准分频分频器产生。基准频率分频器就是一个进制很大的计数器,利用计数器的分频功能,从不同的输出为得到所需要的脉冲信号。(5)动态显示模块时间的显示需要用到6个数码管,如果实验板上可用的静态显示数码管有6个或6个以上则很容易实现,只需要将小时高位到秒低位Ginger6组输出按顺序锁定到6个数码管上即可。(6)闹钟模块闹钟模块要求数字钟计时到所设定的任意时间时均能驱动扬声器报时。该模块的设

7、计应考虑到以下几个问题。1.设定的闹钟的时间应使用新的计数器进行存储,与正常的计时互不干扰。2.与正常计时状态的显示切换。可以设定一个按键,用于选择是将计时时间还是将闹钟时间状态送动态显示模块。3.应实现一个比较模块,当计时到与闹钟时间相等时,则驱动扬声器鸣叫。4.闹钟响声应限定在一定时间内,比如一分钟,且在这段时间内应随时可以通过按键取消闹时状态(扬声器停止鸣叫)。将上述6个功能模块分别加以实现,再连接成为顶层原理图,编译后锁定管脚下载验证结

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。