数字逻辑电路课程设计-多功能数字钟

数字逻辑电路课程设计-多功能数字钟

ID:6789123

大小:171.50 KB

页数:14页

时间:2018-01-25

数字逻辑电路课程设计-多功能数字钟_第1页
数字逻辑电路课程设计-多功能数字钟_第2页
数字逻辑电路课程设计-多功能数字钟_第3页
数字逻辑电路课程设计-多功能数字钟_第4页
数字逻辑电路课程设计-多功能数字钟_第5页
资源描述:

《数字逻辑电路课程设计-多功能数字钟》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、J计算机1401谢舟江苏大学数字逻辑电路课程设计课题:多功能数字钟学号:姓名:专业班级:J计算机1401学院:京江学院指导老师:2016年1月9日2J计算机1401谢舟目录一、实验目的.........................................1二、顶层图.............................................1三、系统功能分析.................................11.时、分、秒的基本组成VHDL................................12.分配器和二路选择器.............

2、.............................43.计时和校时模块.................................................54.整点报时模块...................................................65.分频模块........................................................76.动态显示模块...................................................87.闹钟模块......................

3、.................................11四、引脚锁定..........................................12五、心得体会..........................................12一、2J计算机1401谢舟一、实验目的多功能数字钟具有以下功能:(1)能进行正常的时、分、秒计时。(2)可使用以EP1C12F324C8为核心的硬件系统上的脉冲按键或者拨动开关实现“校时”、“校分”及秒清零功能。(3)可使用以EP1C12F324C8为核心的硬件系统上的扬声器进行整点报时。(4)设置闹钟,并连接扬声器实现闹铃功能

4、。(5)通过以EP1C12F324C8为核心的硬件系统上的动态扫描数码管显示时间。二、顶层图三、系统功能分析根据总体设计框图,可以将整个系统分为6个模块来实现,分别是计时模块、校时模块、整点报时模块、分频模块、动态显示模块及闹钟模块。1.时、分、秒的基本组成VHDL(1)24进制计数器源程序:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;12J计算机1401谢舟entitycnt24isport(clk:instd_logic;ql,qh:out

5、std_logic_vector(3downto0);tc:outstd_logic);endcnt24;architectureoneofcnt24issignall,h:std_logic_vector(3downto0);signalco:std_logic;beginprocess(clk)beginif(clk'eventandclk='1')thenif(l<"1001"and(h="0000"orh="0001"))thenl<=l+1;h<=h;co<='0';endif;if(l="1001"and(h="0000"orh="0001"))thenh<=h+1;l<="000

6、0";co<='0';endif;if(l<"0100"andh<"0010")thenl<=l+1;h<=h;co<='0';endif;if(l="0011"andh="0010")thenh<="0000";l<="0000";co<='1';endif;endif;qh<=h;ql<=l;tc<=co;endprocess;endone;模块图:(2)60进制计数器源程序:libraryieee;useieee.std_logic_1164.all;12J计算机1401谢舟useieee.std_logic_unsigned.all;entitycnt60isport(clk,clr:

7、instd_logic;ql,qh:bufferstd_logic_vector(3downto0);tc:outstd_logic);endcnt60;architecturebehavorofcnt60issignalh,l:std_logic_vector(3downto0);signalco:std_logic;beginprocess(clk,clr)beginif(clr='0')th

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。