典型的存储器模块有 寻址存储器ROM RAM 顺序存储器.ppt

典型的存储器模块有 寻址存储器ROM RAM 顺序存储器.ppt

ID:52341975

大小:151.00 KB

页数:31页

时间:2020-04-04

典型的存储器模块有 寻址存储器ROM RAM 顺序存储器.ppt_第1页
典型的存储器模块有 寻址存储器ROM RAM 顺序存储器.ppt_第2页
典型的存储器模块有 寻址存储器ROM RAM 顺序存储器.ppt_第3页
典型的存储器模块有 寻址存储器ROM RAM 顺序存储器.ppt_第4页
典型的存储器模块有 寻址存储器ROM RAM 顺序存储器.ppt_第5页
资源描述:

《典型的存储器模块有 寻址存储器ROM RAM 顺序存储器.ppt》由会员上传分享,免费在线阅读,更多相关内容在PPT专区-天天文库

1、典型的存储器模块有:寻址存储器:ROMRAM顺序存储器:FIFOStack(LIFO)存储器模块的VHDL设计ROM和RAM属于通用大规模器件,一般不需要自行设计;但是在数字系统中,有时也需要设计一些小型的存储器件,用于特定的用途:l例如临时存放数据,构成查表运算等。此类器件的特点为地址与存储内容直接对应,设计时将输入地址作为给出输出内容的条件,采用条件赋值方式进行设计。寻址存储器的VHDL设计设计思想:将每个8位数组作为一个字(word);总共存储16个字;将ram作为由16个字构成的数组,以地址为下标;通过读写控制模式实现对特定地址上字的读出或写

2、入;寻址存储器设计:16x8位RAMlibraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitykramisport(clk,wr,cs:instd_logic;d:inoutstd_logic_vector(7downto0);adr:instd_logic_vector(3downto0));endkram;寻址存储器设计:16x8位RAMarchitecturebehofkramissubtypewordisstd_logic_vector(7downt

3、o0);typememoryisarray(0to15)ofword;signaladr_in:integerrange0to15;signalsram:memory;beginadr_in<=conv_integer(adr);--将地址转换为数组下标process(clk)begin寻址存储器设计:16x8位RAMif(clk'eventandclk='1')thenif(cs='1'andwr='1')then--片选、写sram(adr_in)<=d;endif;if(cs='1'andwr='0')then--片选、读d<=sram(adr

4、_in);endif;endif;endprocess;endbeh;寻址存储器设计:16x8位RAMROM的内容是初始设计电路时就写入到内部的,通常采用电路的固定结构来实现存储;ROM只需设置数据输出端口和地址输入端口;设计思想:采用二进制译码器的设计方式,将每个输入组态对应的输出与一组存储数据对应起来;寻址存储器设计:16x8位ROMlibraryieee;useieee.std_logic_1164.all;entityromisport(dataout:outstd_logic_vector(7downto0);addr:instd_logi

5、c_vector(3downto0);ce:instd_logic);endrom;寻址存储器设计:16x8位ROMarchitecturedofromissignalid:std_logic_vector(4downto0);beginid<=addr&ce;dataout<="00001111"whenid="00000"else"11110000"whenid="00010"else"11001100"whenid="00100"else"00110011"whenid="00110"else"10101010"whenid="01000"el

6、se"01010101"whenid="01010"else"10011001"whenid="01100"else寻址存储器设计:16x8位ROM"01100110"whenid="01110"else"00000000"whenid="10000"else"11111111"whenid="10010"else"00010001"whenid="10100"else"10001000"whenid="10110"else"10011001"whenid="11000"else"01100110"whenid="11010"else"1010011

7、0"whenid="11100"else"01100111"whenid="11110"else"XXXXXXXX";endd;寻址存储器设计:16x8位ROM顺序存储器的特点是不设置地址,所有数据的写入和读出都按顺序进行;数据写入或读出时通常采用移位操作设计;在设计时必须考虑各存储单元的存储状态;顺序存储器(堆栈和FIFO)的设计设计要求:存入数据按顺序排放;存储器全满时给出信号并拒绝继续存入;数据读出时按后进先出原则;存储数据一旦读出就从存储器中消失;堆栈(后进先出存储器)的设计设计思想:将每个存储单元设置为字(word);存储器整体作为由字构成

8、的数组;为每个字设置一个标记(flag),用以表达该存储单元是否已经存放了数据;每写入或读出一个数据时,字的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。