以太网MAC_MAC互连的分析及实现.pdf

以太网MAC_MAC互连的分析及实现.pdf

ID:56037305

大小:188.94 KB

页数:4页

时间:2020-06-19

以太网MAC_MAC互连的分析及实现.pdf_第1页
以太网MAC_MAC互连的分析及实现.pdf_第2页
以太网MAC_MAC互连的分析及实现.pdf_第3页
以太网MAC_MAC互连的分析及实现.pdf_第4页
资源描述:

《以太网MAC_MAC互连的分析及实现.pdf》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、以太网MAC-MAC互连的分析及实现-15-●应用与设计以太网MAC-MAC互连的分析及实现潘小林,李生红(上海交通大学电子工程系,上海200030)摘要:介质独立接口(MII)、吉比特介质独立接口(GMII)和通用串行接口(GPSI)都是用来连接介质访问控制子层(MAC)和物理层(PHY)的常用接口,目的是将不同的物理介质用统一的接口连到MAC控制器。文中对三种接口的工作机制和信号时序关系进行了分析,给出了利用这些接口实现以太网MAC-MAC直接连接的实现方案,并通过具体系统对其中的两种连接方案进行了验证。关键词:介质独立接口;吉比特介质独立接口;通用

2、串行接口;介质访问控制分类号:TP393.03文献标识码:A文章编号:1006-6977(2005)06-0015-03AnalysisandapplicationofEthernetMAC-MACconnectionPANXiao-lin,LISheng-hong(DepartmentofElectronicsEngineering,ShanghaiJiaotongUniversity,Shanghai200030,China)Abstract:Thepurposeofmediaindependentinterface,gigabitmediainde

3、pendentinterfaceandgeneralpurposeserialinterfacearetoprovideaninterconnectionbetweenMACsublayerandPHYsublayer,sothatidenticalmedi2aaccesscontrollersmaybeconnectedwithdifferentformofphysicalmedia.ThispaperintroducesasolutiontodirectlyconnectEthernetMAC-MACbyMII,GMIIorGPSIaccording

4、toworkmechanismandtimingdiagramofthreeinterfaces.Weapplythesolutiontoourproject,anditworkswell.Keywords:MII;GMII;GPSI;MAC[1]按照IEEE802.3标准,介质独立接口(MII)和IEEE802.3中定义的介质独立接口(MII)主要用吉比特介质独立接口(GMII)可分别用于连接10M/来连接介质访问控制子层(MAC)和物理层(PHY),100Mbps和1000Mbps以太网介质访问控制子层目的是使不同的物理层能够使用统一的接口连到(MA

5、C)和物理层(PHY)。另一种非正式标准的通用MAC层,即物理层对MAC层是透明的。该接口可用串行接口(Pseudo-standardGeneralPurposeSerialIn2于直接连接MAC与PHY芯片,也可连接分别装有terface)是用于连接10Mbps以太网MAC与PHY的。MAC和PHY的两块印制板,此外,还可以通过一段但是,在目前的通信产品中,通常需要在同一块PBA电缆连接装有MAC和PHY的设备。这一接口支持(PrintedBoardAssembled)板上或同一系统中的相邻10Mbps和100Mbps两种速率,而且两种速率实现的两块P

6、BA板上对两个带MAC控制器的器件进行互功能相同,其区别只是工作频率不一样。连。如果将两个MAC的MII接口分别先接PHY器介质独立接口由18根信号线组成,其中发送方件,再通过变压器隔离,然后通过差分线对互连,不向7根(TXD<3:0>、TXEN、TXCLK、TXER),接仅会增加系统成本和布局面积,而且会在系统中引收方向7根(RXD<3:0>、RXDV、RXCLK、RXER入高频模拟信号,从而给系统带来EMI。),此外,还有载波侦听(CRS)、冲突检测(COL)和串文中根据这几种接口收发机制及信号时序关系,行管理通道(MDC、MDIO)信号。发送时钟(

7、TXCLK)解决了同步时钟的供给问题,给出了实现MAC与和接收时钟(RXCLK)一般由PHY提供(本文还将MAC直连的解决方案。提出一种由另一端MAC或外界提供标准时钟的设计方案),根据数据率10Mpbs/100Mbps选择2.5MHz1三种接口信号分析或25MHz时钟,且不论有无有效数据收发,时钟一直1.1十兆/百兆介质独立接口被正常提供。在发送方向,当介质访问控制子层有数-16-《国外电子元器件》2005年第6期2005年6月据要发送时,在某个发送时钟上升沿应将发送使能口通常由8根信号线组成,其中发送方向3根信号(TXEN)置为高电平,同时发送待发数

8、据(TXD(TXD、TXEN、TXCLK),接收方向3根(RXD、RX<3:0>

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。