EDA实验7段显示译码器.doc

EDA实验7段显示译码器.doc

ID:56125384

大小:61.00 KB

页数:3页

时间:2020-06-20

EDA实验7段显示译码器.doc_第1页
EDA实验7段显示译码器.doc_第2页
EDA实验7段显示译码器.doc_第3页
资源描述:

《EDA实验7段显示译码器.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA实验报告一、实验目的设计一个7段数码显示译码器设计。二、实验仪器计算机一台,U盘一个,QuartusⅡ软件三、实验步骤1.新建一个文件夹YHY,打开QuartusⅡ软件,选择菜单File→New,在弹出的New对话框中选择DeviceDesignFile页的原理图文件编辑器输入项VHDLFile(如图一),按OK按钮打开VHDL编辑器窗口。2.在VHDL编辑器窗口输入7段数码显示译码器的VHDL描述:IBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYDECL7SISPORT(A:INSTD_LOGIC_VECTOR(3DOWNTO

2、0);LED7S:OUTSTD_LOGIC_VECTOR(6DOWNTO0));END;ARCHITECTUREONEOFDECL7SISBEGINPROCESS(A)BEGINCASEAISWHEN"0000"=>LED7S<="0111111";WHEN"0001"=>LED7S<="0000110";WHEN"0010"=>LED7S<="1011011";WHEN"0011"=>LED7S<="1001111";WHEN"0100"=>LED7S<="1100110";WHEN"0101"=>LED7S<="1101101";WHEN"0110"=>LED7S<="

3、1111101";WHEN"0111"=>LED7S<="0000111";WHEN"1000"=>LED7S<="1111111";WHEN"1001"=>LED7S<="1101111";WHEN"1010"=>LED7S<="1110111";WHEN"1011"=>LED7S<="1111100";WHEN"1100"=>LED7S<="0111001";WHEN"1101"=>LED7S<="1011110";WHEN"1110"=>LED7S<="1111001";WHEN"1111"=>LED7S<="1110001";WHENOTHERS=>NULL;END

4、CASE;ENDPROCESS;END;3.编译并运行,观察其波形输出(如下图)。4.打开File→Create/Update→CreateSymbolfilesforcurrentfile(如下图)。5.打开FILE——NEW,新建一个BLOCKDIAGRAM/SCHEMATICFILE文件,单击SYMBOLTOOL按钮,在弹出的对话框中选中PROJECT下的ADDER8,即调出其原理图(如下图所示)。7段数码显示译码器原理图四、实验分析与总结1.根据7段数码显示译码器的波形图知,实验结果正确,设计成功。2.通过此次实验,进一步熟悉了7段数码显示译码器的VHDL描述方法。

5、对VHDL的使用有了更深入的了解。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。