EDA七段译码器实验报告.doc

EDA七段译码器实验报告.doc

ID:55160264

大小:53.00 KB

页数:8页

时间:2020-04-29

EDA七段译码器实验报告.doc_第1页
EDA七段译码器实验报告.doc_第2页
EDA七段译码器实验报告.doc_第3页
EDA七段译码器实验报告.doc_第4页
EDA七段译码器实验报告.doc_第5页
资源描述:

《EDA七段译码器实验报告.doc》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、EDA技术实验报告实验项目名称:7段数码显示译码器设计实验日期:2012.06.04实验成绩:实验评定标准:1)实验程序是否正确A()B()C()2)实验仿真、结果及分析是否合理A()B()C()3)实验报告是否按照规定格式A()B()C()一、实验目的学习7段数码显示译码器设计,学习VHDL的CASE语句应用及多层次设计方法。二、实验器材QuartusII7.2软件三、实验内容(实验过程)(一).1、首先设计一个2选1的数据选择器(1)打开软件,选择菜单file—>new,在弹出的new对话框中选择Dev

2、iceDesignFiles的VHDLFile项,按OK键后进入VHDL文本编辑方式。根据7段数码显示译码器的功能编辑相应的源程序。如下:libraryieee;useieee.std_logic_1164.all;entitydecl7sisport(a:instd_logic_vector(3downto0);led7s:outstd_logic_vector(6downto0));enddecl7s;architectureoneofdecl7sisbeginprocess(a)begincaseai

3、swhen"0000"=>led7s<="";when"0001"=>led7s<="";when"0010"=>led7s<="";when"0011"=>led7s<="";when"0100"=>led7s<="";when"0101"=>led7s<="";when"0110"=>led7s<="";when"0111"=>led7s<="";when"1000"=>led7s<="";when"1001"=>led7s<="";when"1010"=>led7s<="";when"1011"=>l

4、ed7s<="";when"1100"=>led7s<="";when"1101"=>led7s<="";when"1110"=>led7s<="";when"1111"=>led7s<="";whenothers=>null;endcase;endprocess;endone;2、对该VHDL语言进行编辑后,无误后进行仿真。点击相应的编辑按钮用来检查源程序的正确性。3.、编译和仿真仿真前要新建波形文件:fileànewàotherfilesàvectorwaveformfile点击OK后在出现的新建波形文

5、件左边空白栏点击鼠标右键,选择insertàinsertnodeorbus.在出现的对话框中直接点击nodefinder。之后,在出现的对话框中选择list。当左边的nodesfound栏中出现设计文件的输入输出端口后,点击》加入到右边的selectednode中,点击OK。在完成7段数码显示译码器源程序的编辑后,执行Processingàstartcompilation命令,对decl7s.vhd进行编译。下图是7段数码显示译码器对应的仿真波形:在完成对源文件的编译后,执行Fileàcreate/upda

6、teàcreatesymbolfilesforcurrent命令,为VHDL设计文件生成元件符号。其元件符号如图所示符号如图所示可以供其他电路和系统设计的调用。4、下载选择电路模式6。用数码8显示译码输出,键8、键7、键6、键5四位控制输入,硬件验证译码器的工作性能。目标芯片选择:实验箱上的目标芯片为ACEX1K系列的EP1K30TC芯片,必须选择正确的芯片才能下载成功。在菜单栏里选择:assignmentsàdevice在出现的对话框中选择正确的芯片即可。(1)引脚的选择:通过试验箱左下角的按键选择模式6

7、。键八、键七、键六、键五分别接a[3]、a[2]、a[1]、a[0];PIO46—PIO40分别接g,f,e,d,c,b,a.(2)引脚绑定:引脚选定之后,可以把输入输出端口绑定在选择好的引脚上。即a[3]绑定在引脚27上,a[2]绑定在引脚26上,a[1]绑定在引脚23上,a[0]绑定在引脚22上,led7s[6]接在引脚95上,led7s[5]接在引脚92上,led7s[4]接在引脚91上,led7s[3]接在引脚90上,led7s[2]接在引脚89上,led7s[1]接在引脚88上led7s[0]接在

8、引脚87上。如图:(1)下载和硬件测试:把实验箱连接好电源和计算机接口,把程序下载到目标芯片上,就可以在实验箱上通过按键和发光二级管来检验实验的正确性。5.设计加法计数器(1)打开软件,选择菜单file—>new,在弹出的new对话框中选择DeviceDesignFiles的VHDLFile项,按OK键后进入VHDL文本编辑方式。根据加法计数器的功能编辑相应的源程序。如下:libraryieee;useieee.

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。