VCS简明使用教程.pdf

VCS简明使用教程.pdf

ID:56922376

大小:341.17 KB

页数:26页

时间:2020-07-25

VCS简明使用教程.pdf_第1页
VCS简明使用教程.pdf_第2页
VCS简明使用教程.pdf_第3页
VCS简明使用教程.pdf_第4页
VCS简明使用教程.pdf_第5页
资源描述:

《VCS简明使用教程.pdf》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、VCSTheVerilogCompilerSimulator仿真的过程¢编译CompileVCS对源文件进行编译,生成中间文件和可执行文件¢仿真Simulate运行可执行文件,对设计进行仿真¢调试通过观察波形、设置断点、追踪信号、查看schematic等来发现错误,并进行纠正¢覆盖率测试通过在编译时,加入覆盖率测试的选项、仿真后,生成包含覆盖率信息的中间文件来显示测试平台的正确性和完备性。一、编译:VCS一个常见的编译命令如下:vcsdesign.v-ffile.f-ylib_dir+libext+.v-vlib_filepli.c-P

2、pli.tab-Mupdate-obin_name-llog_file+v2k-R-RI-s-debug_all+vcsd+define+m1+m2+timopt+-line+incdir+dir1+dir2+memopt[+2]-sverilog-mhdl+ad-full64-comp64+nospecify+notimingcheck-ntb+race-ova_filefile_ova+vpdfile+file_vpd+vpdfilesize+nMB+vpdupdate+cli+1

3、2

4、3

5、4+vcs+ini

6、tmem+0

7、1

8、x

9、z+vcs+initreg+0

10、1

11、x

12、z+vc-cmline

13、tgl

14、cond

15、fsm

16、path

17、branch-cm_dirdir一、编译:VCS-vlib_filelib_file是Verilog文件,包含了引用的module的定义,可以是绝对路径,也可以是相对路劲。-ylib_dirlib_dir是参考库的目录,vcs从该目录下寻找包含引用的module的Verilog文件,这些文件的文件名必须和引用的module的名一样+libext+.v+.vhd+...vcs在参考库目录下寻找以.v和.vhd为扩展名

18、的文件。多个扩展名之间用“+”连接。+incdir+dir1+dir2+…vcs从dir1和dir2等目录下寻找源代码中`include指示的文件。-full64vcs以64位模式编译,生成64位的simv。-comp64vcs以64位模式编译,生成32位的simv。-filelist_filelist_file文件中式源文件的列表以及编译选项。-debug_pp产生vpd文件,enableDVEforpost-processing。-debug相对于-debug_pp,多了UCLI调试功能。-debug_all相对于-debug,多了单

19、步调试功能。-gui在仿真时,使用dve调试-assertdveenableSystemverilogassertiontracingintheVPDfile-R编译后立即进行仿真一、编译:VCS-pvalue+parameter_hierarchical_name=value改变设计中的参数值,例如:vcs-pvalue+test.d1.param1=33-parametersfilename更改filename中的参数值-notice给出详细的编译信息-q不在终端输出编译时的信息-llog_file将日志写入制定的log_file中+

20、define+macro1=value+macro2=value+…将macro1和macro2,…传给源文件中同名的宏,如果value是字符串的话,要用双引号括起来-obin_name产生bin_name的可执行文件,而不产生simv+v2k支持Verilog2001标准+vcs+initmem+0

21、1

22、x

23、z初始化存储器和多位寄存器数组+vcs+initreg+0

24、1

25、x

26、z初始化reg变量,不初始化其他寄存器型变量-xzcheck当一个条件等于x、z值时,VCS给出警告信息,可以在某些模块中加入$xzcheckoff和$xzchec

27、kon来屏蔽该选项-RI执行完编译后,立即运行VirSim,该选项不能和+vcds联用-s编译之后,运行simv时,仿真时刻停止0处+define+macro1+…将宏macro1传给源代码。-sverilog提供对SystemVerilog的支持一、编译:VCS-line实现单步仿真,将会极大地增加运行时间-mhdl实现混合HDL语言的编译和仿真+ad=实现混合信号的编译和仿真-nospecify禁止模块路径延迟和时序检查,提高仿真速度+notimingcheck禁止时序检查任务,可以改善仿真速度+vpdfile+f

28、ilename指定要写入的vpd文件名,而不用vcdplus.vpd+vpdupdate同时读写vpd文件+vpdfilesize+nMB指定vpd文件的最大size+race自动产生一个ra

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。