实验四-编码器-和译码器电路仿真实验.doc

实验四-编码器-和译码器电路仿真实验.doc

ID:57574762

大小:417.00 KB

页数:5页

时间:2020-08-27

实验四-编码器-和译码器电路仿真实验.doc_第1页
实验四-编码器-和译码器电路仿真实验.doc_第2页
实验四-编码器-和译码器电路仿真实验.doc_第3页
实验四-编码器-和译码器电路仿真实验.doc_第4页
实验四-编码器-和译码器电路仿真实验.doc_第5页
资源描述:

《实验四-编码器-和译码器电路仿真实验.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验四编码器、译码器电路仿真实验一、实验目的1、掌握编码器、译码器的工作原理。2、常见编码器、译码器的应用。二、实验原理数字信号不仅可以用来表示数,还可以用来表示各种指令和信息。所谓编码是指在选定的一系列二进制数码中,赋予每个二进制数码以某一固定含义。例如,用二进制数码表示十六进制数叫做二-十六进制编码。能完成编码功能的电路统称为编码器。74LS148D是常用的八-三优先编码器。在八个输入线上可以同时出现几个有效输入信号,但只对其中优先权最高的一个有效输入信号进行编码。其中7端优先权最高,0端优先权

2、最低,其他端的优先权按照脚号的递减顺去排列。~E1为选通输入端,低电平有效,只有~EI=0时,编码器正常工作,而在~EI=1时,所有的输出端均被封锁。E0为选通输出端,GS为优先标志端。该编码器输入、输出均为低电平有效。译码是编码的逆过程,将输入的每个二进制代码赋予的含义“翻译”过来,给出相应的输出信号。能够完成译码功能的电路叫做译码器。74LS138D属于三-八线译码器,该译码器输入高电平有效,输出低电平有效。三、实验步骤1、8-3线优先编码器:如下图所示连接电路:切换9个单刀双掷开关进行仿真实验

3、,将结果记录入下表中,输入端“1”表示高电平,“0”表示低电平,“X”表示高低电平都可以。输出端中的“1”表示探测器亮,“0”表示探测器灭。该编码器输入、输出均为低电平有效。2、3-8线译码器实验步骤如下图所示连接电路切换3个单刀双掷开关进行仿真实验,实验结果记录如下表中。输入端中的“1”表示接高电平,“0”表示接地电平。输出端中的“1”表示探测器亮,“0”表示探测器灭。该译码器输入高电平有效,输出低电平有效。四、思考题:(1)利用两块8-3线优先编码器74LS148D设计16-4线优先编码电路,然

4、后仿真实验验证16-4线优先编码的逻辑功能。实验线路如图:真值表如图所示:(2)利用两块3-8线译码器74LS138D设计4-16线译码器,然后仿真验证4-16线译码逻辑功能。线路图如图所示:真值表如图所示:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。