第四章 状态机及其VHDL设计ppt课件.ppt

第四章 状态机及其VHDL设计ppt课件.ppt

ID:58672685

大小:676.50 KB

页数:61页

时间:2020-10-05

第四章  状态机及其VHDL设计ppt课件.ppt_第1页
第四章  状态机及其VHDL设计ppt课件.ppt_第2页
第四章  状态机及其VHDL设计ppt课件.ppt_第3页
第四章  状态机及其VHDL设计ppt课件.ppt_第4页
第四章  状态机及其VHDL设计ppt课件.ppt_第5页
资源描述:

《第四章 状态机及其VHDL设计ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第四章状态机及其VHDL设计有限状态机(FiniteStateMachine,简称FSM)是一类很重要的时序电路,是许多数字系统的核心部件,也是实时系统设计中的一种数学模型,是一种重要的、易于建立的、应用比较广泛的、以描述控制特性为主的建模方法,它可以应用于从系统分析到设计的所有阶段。有限状态机的优点在于简单易用,状态间的关系清晰直观。建立有限状态机主要有两种方法:“状态转移图”和“状态转移表”。标准状态机通常可分为Moore和Mealy两种类型。状态机的基本操作有两种:1.状态机的内部状态转换。状态机经历一系列状态,下一状态由状态译码器根据当前状态和输入条件决定。2.

2、产生输出信号序列。输出信号由输出译码器根据当前状态和输入条件确定。两种典型的状态机是Mealy状态机和Moore状态机。Moore状态机的输出只是当前状态的函数,而Mealy状态机的输出一般是当前状态和输入信号的函数。对于这两类状态机,控制定序都取决于当前状态和输入信号。大多数实用的状态机都是同步的时序电路,由时钟信号触发进行状态的转换。时钟信号同所有的边沿触发的状态寄存器和输出寄存器相连,使状态的改变发生在时钟的上升或下降沿。输入/出输入/出输入/出输入/出输入/出输入/出状态A状态B状态C状态D状态E状态B01状态A00I/01状态A状态B状态转移图Mealy和Mo

3、ore状态机4.1一般状态机的VHDL设计用VHDL设计有限状态机方法有多种,但最一般和最常用的状态机设计通常包括说明部分,主控时序部分,主控组合部分和辅助进程部分。1)说明部分说明部分中使用TYPE语句定义新的数据类型,此数据类型为枚举型,其元素通常都用状态机的状态名来定义。状态变量定义为信号,便于信息传递,并将状态变量的数据类型定义为含有既定状态元素的新定义的数据类型。说明部分一般放在结构体的ARCHITECTURE和BEGIN之间。2)主控时序进程是指负责状态机运转和在时钟驱动正负现状态机转换的进程。状态机随外部时钟信号以同步方式工作,当时钟的有效跳变到来时,时序

4、进程将代表次态的信号next_state中的内容送入现态信号current_state中,而next_state中的内容完全由其他进程根据实际情况而定,此进程中往往也包括一些清零或置位的控制信号。3)主控组合进程根据外部输入的控制信号(包括来自外部的和状态机内容的非主控进程的信号)或(和)当前状态值确定下一状态next_state的取值内容,以及对外或对内部其他进程输出控制信号的内容。4)辅助进程用于配合状态机工作的组合、时序进程或配合状态机工作的其他时序进程。在一般状态机的设计过程中,为了能获得可综合的,高效的VHDL状态机描述,建议使用枚举类数据类型来定义状态机的状

5、态,并使用多进程方式来描述状态机的内部逻辑。例如可使用两个进程来描述,一个进程描述时序逻辑,包括状态寄存器的工作和寄存器状态的输出,另一个进程描述组合逻辑,包括进程间状态值的传递逻辑以及状态转换值的输出。必要时还可以引入第三个进程完成其它的逻辑功能。例4.1(exp1):下例描述的状态机由两个主控进程构成,其中进程REG为主控时序进程,COM为主控组合进程。下图为上述状态机的工作时序图。reset为异步复位信号,低电平有效,而clk为上升沿有效。如在第3个脉冲上升沿到来时current_state=“st0”,state_inputs=“01”,输出comb_outpu

6、ts=“01”。第4个脉冲上升沿到来时current_state=“st1”,state_inputs=“00”,输出comb_outputs=“01”。综合后的RTL图:注意:在上例中,有两个信号起到了互反馈的作用,完成了两个进程间的信息传递的功能,这两个信号分别是current_state〔进程REG->进程COM)和next_state(进程COM->进程REG)。一般来说,利用状态机进行设计有如下几个步骤:(1)分析设计要求,列出状态机的全部可能状态,并对每一个状态进行编码。(2)根据状态转移关系和输出函数画出状态转移图。(3)由状态转移图,用VHDL语句对状态

7、机描述。4.2Moore状态机的VHDL设计Moore有限状态机输出只与当前状态有关,与输入信号的当前值无关,是严格的现态函数。在时钟脉冲的有效边沿作用后的有限个门延后,输出达到稳定值。即使在时钟周期内输入信号发生变化,输出也会保持稳定不变。从时序上看,Moore状态机属于同步输出状态机。Moore有限状态机最重要的特点就是将输入与输出信号隔离开来。例4.2(exp2):典型的Moore型状态机0011S10001S41000S20010S30100Moore状态机的状态图上例的VHDL描述中包含了两个进程:state_process和o

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。