数字电路逻辑设计第8章1可编程逻辑器件及其应用 ppt课件.ppt

数字电路逻辑设计第8章1可编程逻辑器件及其应用 ppt课件.ppt

ID:58781115

大小:2.39 MB

页数:67页

时间:2020-10-03

数字电路逻辑设计第8章1可编程逻辑器件及其应用 ppt课件.ppt_第1页
数字电路逻辑设计第8章1可编程逻辑器件及其应用 ppt课件.ppt_第2页
数字电路逻辑设计第8章1可编程逻辑器件及其应用 ppt课件.ppt_第3页
数字电路逻辑设计第8章1可编程逻辑器件及其应用 ppt课件.ppt_第4页
数字电路逻辑设计第8章1可编程逻辑器件及其应用 ppt课件.ppt_第5页
资源描述:

《数字电路逻辑设计第8章1可编程逻辑器件及其应用 ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第8章可编程逻辑器件及其应用8.1可编程阵列逻辑(PAL)器件8.2通用阵列逻辑(GAL)器件8.3复杂可编程逻辑器件(CPLD)8.4现场可编程门阵列(FPGA)器件8.5可编程逻辑器件的开发简介连接线与点增多抗干扰下降传统的逻辑系统:当规模增大时焊点多,可靠性下降;系统规模增加,成本升高;功耗增加;占用空间扩大。系统放在一个芯片内专用集成电路(简称ASIC)简介半定制标准单元(StandardCellArray简称SCA)门阵列(GateArray简称GA)可编程逻辑器件(ProgrammableLogicDevice)近年来PLD从芯片

2、密度、速度等方面发展迅速,已成为一个重要分支。MAX7128SASIC全定制(FullCustomDesignIC)厂商直接做出厂商做出半成品半定制(Semi-CustomDesignIC)简介可编程逻辑器件PLD概述PLDSPLDHDPLDCPLDFPGA任何组合函数都可表示为与—或表达式:用两级与—或电路实现SCACPLDGAPROMPLAPALGAL由大量的二级与—或单元电路组成—与固定,或编程—与或均可编程—与编程,或固定单元电路与—或阵列逻辑模块PLD有多种品种:PROM、PLA、PAL、GAL、EPLD和FPGA等。但它们组成结构

3、基本相似与门阵列或门阵列乘积项和项PLD主体输入电路输入信号互补输入输出电路输出函数反馈输入信号可由或阵列直接输出,构成组合;通过寄存器输出,构成时序方式输出。可直接输出也可反馈到输入输出既可以是低电平有效,又可以是高电平有效。一、PLD的基本结构可编程逻辑器件PLD概述二、PLD的逻辑符号表示方法1.输入缓冲器表示方法AAA2.与门的表示方法PLD具有较大的与或阵列,逻辑图的画法与传统的画法有所不同。ABCDF1固定连接编程连接F1=A•B•C×可编程逻辑器件PLD概述ABCDF2F2=B+C+D××二、PLD的逻辑符号表示方法3.或门的表

4、示方法可编程逻辑器件PLD概述4.三种特殊表示方法1.输入全编程,输出为0。2.也可简单地对应的与门中画叉,因此E=D。3.乘积项与任何输入信号都没有接通,相当与门输出为1。可编程逻辑器件PLD概述下图给出最简单的PROM电路图,右图是左图的简化形式。实现的函数为:固定连接点(与)编程连接点(或)实现函数的表达式:最小项表达式(1)与固定、或编程:ROM和PROM(2)与或全编程:PLA(3)与编程、或固定:PAL、GAL和HDPLD根据与或阵列是否可编程分为三类:三、PLD的分类可编程逻辑器件PLD概述1.与固定、或编程ABCBC

5、A000001010111连接点编程时,需画一个叉。输出全部最小项全译码ABC三、PLD的分类与阵列全固定,ROM和PROM可编程逻辑器件PLD概述2.与、或全编程代表器件是PLA(ProgrammableLogicArray),下图给出了PLA的阵列结构。不象PROM那样与阵列需要全译码。由于与或阵列均能编程的特点,在实现函数时,所需的是简化后的乘积项之和,这样阵列规模比PROM小得多。××××可编程可编程三、PLD的分类可编程逻辑器件PLD概述3.与编程、或固定在这种结构中,或阵列固定若干个乘积项输出。××O1代表器件PAL(Progra

6、mmableArrayLogic)和GAL(GenericArrayLogic)。三、PLD的分类每个交叉点都可编程。O1为两个乘积项之和。可编程逻辑器件PLD概述3种基本的PLD结构与阵列固定或阵列可编程PROM或阵列固定与阵列可编程PAL与阵列可编程或阵列可编程PLA可编程逻辑器件PLD概述采用PLD设计数字系统和中小规模相比具有如下特点:1.系统体积减小:单片PLD有很高的密度,可容纳中小规模集成电路的几片到十几片;2.逻辑设计的灵活性增强:使用PLD器件设计的系统,可以不受标准系列器件在逻辑功能上的限制;3.设计周期缩短:由于可编程特

7、性,用PLD设计一个系统所需时间为缩短;4.系统处理速度提高:实现逻辑功能比用中小规模器件所需的逻辑级数少。简化了系统设计,减少了级间延迟,提高了系统的处理速度;5.系统成本降低:由于PLD集成度高,测试与装配的量大大减少,避免了改变逻辑带来的重新设计和修改,有效地降低了成本;6.系统的可靠性提高:减少了芯片数量和印制板面积,减少相互间的连线,增加了平均寿命,提高抗干扰能力,从而增加了系统的可靠性;7.系统具有加密功能:某些PLD器件本身具有加密功能。可编程逻辑器件PLD概述用可编程逻辑器件设计电路需要相应的开发软件平台和编程器,可编程逻辑器

8、件开发软件和相应的编程器多种多样。特别是一些较高级的软件平台,一个系统除了方案设计和输入电路外,其它功能都可用编程软件自动完成。可编程逻辑器件设计电路过程如下图所示

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。