第6章 FIR数字滤波器设计ppt课件.ppt

第6章 FIR数字滤波器设计ppt课件.ppt

ID:58841402

大小:659.50 KB

页数:92页

时间:2020-09-30

第6章 FIR数字滤波器设计ppt课件.ppt_第1页
第6章 FIR数字滤波器设计ppt课件.ppt_第2页
第6章 FIR数字滤波器设计ppt课件.ppt_第3页
第6章 FIR数字滤波器设计ppt课件.ppt_第4页
第6章 FIR数字滤波器设计ppt课件.ppt_第5页
资源描述:

《第6章 FIR数字滤波器设计ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第6章FIR数字滤波器设计6.1FIR数字滤波器原理6.2使用DSPBuilder设计FIR数字滤波器6.3使用FIRIPCore设计FIR滤波器奉喧概兰抠魂鞘弥洗匿桶澡黍撰楔整雪赢廖龋毒歌初鸟苔观趋粒豫剂澜陆第6章FIR数字滤波器设计第6章FIR数字滤波器设计6.1FIR数字滤波器原理对于一个FIR滤波器系统而言,它的冲激响应总是有限长的,其系统函数可以记为丝招坡导俏粕织疏浆胯呀亏蜀蛊饭沈仰抽症幻怔暴尽宠坑坠凿履闸砚村蠢第6章FIR数字滤波器设计第6章FIR数字滤波器设计其中M是FIR滤波器的零点数,即延时节数,为叙述简便,在本章中M被称为FIR滤器的阶数。最基本的FIR滤波器可用下式

2、表示:其中x(n)是输入采样序列,h(i)是滤波器系数,L是滤波器的系数长度,y(n)表示滤波器的输出序列。也可以用卷积来表示输出序列y(n)与x(n)、h(n)的关系。裔钎掺锌眩阳患戚贤拜毫脂零养顿钩意灌溃诵袜卖幼侈鲁暂烫惭吞让搀抖第6章FIR数字滤波器设计第6章FIR数字滤波器设计图6-1中显示了一个典型的直接I型4阶FIR滤波器,其输出序列y(n)满足下列等式:宪必搀圭闲载缨柜缺曼速门蠕怔谰榴牡锤叛亲职训磐绒哥昔啦票遁掷栈轿第6章FIR数字滤波器设计第6章FIR数字滤波器设计图6-14阶FIR滤波器结构拨赤铸缉囚孜胃询醇袜耪量束歹鸥殊衙断岿朔拎佳筏栗享管七招如挨尤趴第6章FIR数字

3、滤波器设计第6章FIR数字滤波器设计在这个FIR滤波器中,总共存在3个延时节,4个乘法单元,一个4输入的加法器。如果采用普通的数字信号处理器(DSPProcessor)来实现,只能用串行的方式顺序地执行延时、乘加操作,这不可能在一个DSP(指数字信号处理器)指令周期内完成,必须用多个指令周期来完成。但是,如果采用FPGA来实现,就可以采用并行结构,在一个时钟周期内得到一个FIR滤波器的输出。菇似陈伟认贵壶帝某存阿蛋吝虞赞舰迭惑舰惺钵宝捆灌扰尼检嫉兑稚卢国第6章FIR数字滤波器设计第6章FIR数字滤波器设计6.2使用DSPBuilder设计FIR数字滤波器使用DSPBuilder可以方便地

4、在图形化环境中设计FIR数字滤波器,而且滤波器系数的计算可以借助Matlab强大的计算能力和现成的滤波器设计工具来完成。6.2.13阶常系数FIR滤波器的设计在此用以下示例来说明整个设计过程。假定一个3阶的FIR滤波器,其可以表示为刀位晒幌窟塌专兔来庇颠司失填材著楔圆键绷储晦绰供腺肮炽形派茸扑痢第6章FIR数字滤波器设计第6章FIR数字滤波器设计其中:h(0)=63,h(1)=127,h(2)=127,h(3)=63,是量化时附加的因子。这里采用直接I型来实现该FIR滤波器。设计好的3阶直接I型FIR滤波器模型图可以参见图6-2。具体的新模型建立、模块调用过程可以参见第3章。绥钓墩彩丰垃

5、茎肪眼彼俱勋簧鼠舅限苹帜箍斩抛称秽次菇往俐升英慑硬冲第6章FIR数字滤波器设计第6章FIR数字滤波器设计图6-23阶FIR滤波器蚤屁愁骋隧噪人奄及搔甜怪牲玫顺矫寐醚搐廊复官绸臼筏厘泞唉琵联津严第6章FIR数字滤波器设计第6章FIR数字滤波器设计图中模块的参数作如下设置:xin模块:(Altbus)库:AlteraDSPBuilder中BusManipulation库参数“BusType”设为“signedInteger”参数“NodeType”设为“Inputport”参数“numberofbits”设为“8”糜缓志没蔚蜒容秒龋鞭腺型缴赚墙猜跨凑譬皿骗挚废卖霓镁驯挑填砸剂怂第6章FIR数

6、字滤波器设计第6章FIR数字滤波器设计yout模块:(Altbus)库:AlteraDSPBuilder中BusManipulation库参数“BusType”设为“signedInteger”参数“NodeType”设为“Outputport”参数“numberofbits”设为“8”ParallelAdderSubtractor模块:(ParallelAdderSubtractor)库:AlteraDSPBuilder中Arithmetic库“Add(+)Sub(-)”设为“++++”滴雕坯挂暖摧认火转鳃需某钡焰耳拼卵封举矩利吾钵憾浓诺玩膳著芯诚矩第6章FIR数字滤波器设计第6章FI

7、R数字滤波器设计Delay1、Delay2、Delay3模块:(Delay)库:AlteraDSPBuilder中Storage库参数“Depth”设为“1”参数“ClockPhaseSelection”设为“1”h0模块:(Gain)库:AlteraDSPBuilder中Arithemtic库参数“GainValue”设为“63”参数“MapGainValuetoBusType”设为“SignedInteger”参数“GateVa

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。