实验14VHDL译码器与编码器的设计与仿真.doc

实验14VHDL译码器与编码器的设计与仿真.doc

ID:58861053

大小:128.50 KB

页数:4页

时间:2020-09-22

实验14VHDL译码器与编码器的设计与仿真.doc_第1页
实验14VHDL译码器与编码器的设计与仿真.doc_第2页
实验14VHDL译码器与编码器的设计与仿真.doc_第3页
实验14VHDL译码器与编码器的设计与仿真.doc_第4页
资源描述:

《实验14VHDL译码器与编码器的设计与仿真.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验十四译码器与编码器的设计与仿真一、实验内容1.参照芯片74LS138的电路结构,在QuartusII中用逻辑图和VHDL语言设计3-8译码器;2.参照芯片74LS148的电路结构,在QuartusII中用逻辑图和VHDL语言设计8-3优先编码器。二、实验要求8-3编码器、3-8译码器的逻辑表达式;8-3编码器、3-8译码器的逻辑图;用VHDL语言设计8-3编码器、3-8译码器。三、电路功能介绍1.74148:8-3优先编码器(8to3PriorityEncoder)用途:将各种输入信号转换成一组二进制代码,使得

2、计算机可以识别这一信号的作用。键盘里就有大家天天打交道的编码器,当你敲击按键时,被敲击的按键被键盘里的编码器编码成计算机能够识别的ASCII码。译码器与编码器的功能正好相反。逻辑框图逻辑功能表INPUTSOUTPUTSEN0N1N2N3N4N5N6N7NA2A1A0EOGS1××××××××111110×××××××0000010××××××01001010×××××011010010××××0111011010×××01111100010××011111101010×01111111100100111111111

3、10101111111111110逻辑表达式和逻辑图:由你来完成。2.74138:3-8译码器(3to8Demultiplexer),也叫3-8解码器用途:用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。显示器中的像素点受到译码器的输出控制。逻辑框图:用逻辑符号(Symbol)来解释该电路输入与输出信号之间的逻辑关系,既省事又直观如下图所示。逻辑功能表:用真值表来定量描述该电路的逻辑功能。这个表是设计3-8译码器的关键;74138的逻辑功能表如下:INPUTOUTPUTSelectEn

4、ableCBAG1 ̄GHA ̄G2B ̄Y ̄7 ̄Y ̄6 ̄ ̄Y ̄5 ̄ ̄Y ̄4 ̄Y ̄3 ̄Y ̄2 ̄Y ̄1 ̄Y ̄0××××××000001010011100101110111×110××10010010010010010010010011111111111111111111111011111101111110111111011111101111110111111011111101111111注:使能端G1是高电平有效;使能端G2是低电平有效,G2=G2AANDG2B。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。