半加器和全加器的设计.doc

半加器和全加器的设计.doc

ID:58966113

大小:105.50 KB

页数:7页

时间:2020-09-16

半加器和全加器的设计.doc_第1页
半加器和全加器的设计.doc_第2页
半加器和全加器的设计.doc_第3页
半加器和全加器的设计.doc_第4页
半加器和全加器的设计.doc_第5页
资源描述:

《半加器和全加器的设计.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验一.半加器,全加器的设计1,半加器的设计,方法一libraryieee;useieee.std_logic_1164.all;entityh_adder1isport(a,b:instd_logic;c,s:outstd_logic);endentityh_adder1;architectureoneofh_adder1isbegins<=axorb;c<=aandb;endarchitectureone;运行结果:方法二:运行结果:2,全加器的设计方法一:libraryieee;useieee.std_logic_1164.all;entit

2、yf_adder1isport(a,b,cin:instd_logic;sum,cout:outstd_logic);endentityf_adder1;architecturearchoff_adder1iscomponenth_adder1port(a,b:instd_logic;s,c:outstd_logic);endcomponent;componentor23port(a,b:instd_logic;c:outstd_logic);endcomponent;signalx:std_logic_vector(0to2);beginu1:h

3、_adder1portmap(a,b,x(1),x(0));u2:h_adder1portmap(x(1),cin,sum,x(2));u3:or23portmap(a=>x(0),b=>x(2),c=>cout);endarch;运行结果:方法二:运行结果:实验二.四选一数据选择器的设计1用case语句:libraryieee;useieee.std_logic_1164.all;entitymux4_1aisport(A,B,C,D:instd_logic_vector(3downto0);sel:instd_logic_vector(1dow

4、nto0);q:outstd_logic_vector(3downto0));endmux4_1a;architecturearchofmux4_1aisbeginprocess(A,B,C,D,sel)begincaseseliswhen"00"=>q<=A;when"01"=>q<=B;when"10"=>q<=C;when"11"=>q<=D;whenothers=>null;endcase;endprocess;endarch;运行结果:2,用if语句设计:libraryieee;useieee.std_logic_1164.all;ent

5、itymux4_1bisport(A,B,C,D:instd_logic_vector(3downto0);sel:instd_logic_vector(1downto0);q:outstd_logic_vector(3downto0));endmux4_1b;architecturearchofmux4_1bisbeginprocess(A,B,C,D,sel)beginIFsel="00"thenq<=A;elsifsel="01"thenq<=B;elsifsel="10"thenq<=C;elsifsel="11"thenq<=D;endi

6、fendprocess;endarch;用with语句设计:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitymux4_1cisport(A,B,C,D:instd_logic_vector(3downto0);sel:instd_logic_vector(1downto0);q:outstd_logic_vector(3downto0));endmux4_1c;architecturearchofmux4_1cisbeginwithselsele

7、ctq<=Awhen"00",Bwhen"01",Cwhen"10",Dwhen"11","ZZ"whenothers;endarch;运行结果:4,用when语句设计:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitymux4_1disport(A,B,C,D:instd_logic_vector(3downto0);sel:instd_logic_vector(1downto0);q:outstd_logic_vector(3downto0))

8、;endmux4_1d;architecturearchofmux4_1disbeginq<=Awhensel="00"e

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。