VHDL语言与EDA技术课程考核项目设计报告模板.doc

VHDL语言与EDA技术课程考核项目设计报告模板.doc

ID:59252153

大小:563.50 KB

页数:15页

时间:2020-09-08

VHDL语言与EDA技术课程考核项目设计报告模板.doc_第1页
VHDL语言与EDA技术课程考核项目设计报告模板.doc_第2页
VHDL语言与EDA技术课程考核项目设计报告模板.doc_第3页
VHDL语言与EDA技术课程考核项目设计报告模板.doc_第4页
VHDL语言与EDA技术课程考核项目设计报告模板.doc_第5页
资源描述:

《VHDL语言与EDA技术课程考核项目设计报告模板.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、湖南人文科技学院信息学院VHDL语言与EDA技术课程考核项目设计报告设计题目:调频信号发生器专业:电子信息工程班级:2013级1班学生姓名:姚靖瑜何渡余建佳学号:指导教师:姚毅成绩评定项目权重成绩组长成员一成员二成员三1、项目设计质量0.52、项目设计答辩0.32、设计报告书写及图纸规范程度0.2总成绩摘要本文介绍一种利用EDA技术和VHDL语言,在QuartusⅡ环境下,设计的一种调频信号发生器。EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关开发软件,

2、自动完成用软件的方式设计的电子系统到硬件系统实现,最终形成集成电子系统或专用集成芯片的一门新技术。介绍一种基于DDS原理,并采用FPGA芯片和VHDL开发语言设计的任意函数调频的任意波形信号发生器,给出了设计方案和在GW48CK型EDA集成电路开发系统上实现的实验结果。关键词:调频;信号发生器;DDS;FPGA;VHDL;QuartusⅡ目录前言11、方案论证与对比21.1方案一21.2方案二21.3两种方案的对比22、工作原理及流程图42.1DDS基本原理42.2基本流程图83、单元电路设计43.1MATLAB设计43.2QuartusII设计84、总体方案的设计11

3、5、调试与操作说明125.1功能仿真125.2引脚锁定125.3元器件及仪器设备明细表135.4硬件的设计与实现136、课程设计总结与体会147附录17附录117附录219附录321调频信号发生器前言1)能将FPGA内部产生的低频信号进行调制,也能选择外部输入的幅度小于4V的低频模拟信号进行调制2)输出信号的载波频率为100KHz。3)输出波形峰-峰值大于2V。1、方案论证与对比1.1方案一方案1:使用DDS芯片控制产生低频信号和高频载波信号,使ADC0509采样外部的低频信号。以单刀双掷开关选择内部或外部信号输入,在示波器上显示调制波形。原理图1如下:1.2方案二基于

4、EDA技术,由软件MADLAB和DSPBuilder设计完成调频信号发生器模块的原理图。然后通过MADLAB中的SignaCompiler将模块转换成VHDL语言。再在QuartusII中编译、仿真、下载完成设计要求。原理图2如下:1.3两种方案的对比方案一由于DDS芯片产生的信号波形、功能和控制方式固定,不能满足具体需求;方案二则是基于硬件设计的语言,很容易对硬件电路实现编程下载。经比较我们选择了方案二。2、设计原理直接数字频率合成技术(DirectDigitalFrequencySyn2thesis,DDFS,简称DDS)是从相位概念出发直接合成所需波形的一种新的频

5、率合成技术[1]。他在相对带宽、频率转换时间、相位连续性、正交输出、高分辨率以及集成化等一系列性能指标方面已远远超过了传统频率合成技术[4]。当累加器的N很大时,最低输出频率可达Hz,mHz,甚至µHz级,即DDS的最低合成频率接近于零频。如果fc为50MHz,那么当N为48位其分辨率可达179nHz。转换时间最快可达10ns的量级,这都是传统频率合成所不能比拟的。图3所示为正弦输出的DDS原理图。DDS频率合成原理相位累加器的输入为频率控制字∆phase。输出正弦波时,RAM中存有一个周期正弦波波形取样点幅度值的编码,取样点数为2N个。在外部时钟的控制下,每来一个时钟

6、,加法器将对被锁定的频率控制字∆phase与累加器输出的累积相位数据不断累加,输出的相位序列(即相位码)作为地址去寻址RAM,同时使RAM存储器将相应地址单元的离散的正弦幅度编码输出。该幅度码经过D/A变换后得到模拟的阶梯电压,再经过低通滤波器平滑后,得到所需的正弦信号。当累加器的累积相位数超过2N时,将该数减去2N作为初值再从头开始累加,如此不断循环。在正弦波输出时,设DDS的时钟频率为fclk,频率控制字为∆phase,相位累加器的位数为N,则DDS的输出频率为:fo=∆phase3fclk/2N(1)其中fclk/2N为频率分辨率,他由相位累加器的位数N决定。所以

7、在DDS结构及参考时钟确定的前提下,通过控制∆phase就可以方便地控制输出频率fo基本流程图3、模块设计3.1MATLAB设计3.1.1顶层原理图设计顶层原理图设计顶层原理图设计顶层原理图设计其中元件AltBus3、ADDER1、Dly1和Bus4构成DDS1模块,产生调制波信号;AltBus2、ADDER4、Dly2和Bus5构成DDS2模块;Bus1、Bus2和input组成输入控制字;Bus6、ADDER6、Busconcatenation和inpunt1组成的是载波控制字;input3、input4和n_to_1multiple

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。