《电子技术基础》第4章组合逻辑电路三ppt课件.ppt

《电子技术基础》第4章组合逻辑电路三ppt课件.ppt

ID:59410286

大小:1.29 MB

页数:30页

时间:2020-09-19

《电子技术基础》第4章组合逻辑电路三ppt课件.ppt_第1页
《电子技术基础》第4章组合逻辑电路三ppt课件.ppt_第2页
《电子技术基础》第4章组合逻辑电路三ppt课件.ppt_第3页
《电子技术基础》第4章组合逻辑电路三ppt课件.ppt_第4页
《电子技术基础》第4章组合逻辑电路三ppt课件.ppt_第5页
资源描述:

《《电子技术基础》第4章组合逻辑电路三ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、译码:译码是编码的逆过程,它能将二进制码翻译成代表某一特定含义的信号.(即电路的某种状态)1.译码器的概念与分类译码器:具有译码功能的逻辑电路称为译码器。4.4.2译码器/数据分配器译码器的分类:1)按输入-输出线数可分为:如2线-4线译码器:将2位二进制数码译成4路输出信号。2线-4线译码器3线-8线译码器4线-10线译码器唯一地址译码器代码变换器将一系列2进制代码转换成与之一一对应的输出有效信号。将一种代码转换成另一种代码。二进制译码器二—十进制译码器显示译码器常见的唯一地址译码器:2)按用途可分为:√√74HC13874HC4511若输入变量的数目为n,则输出端的数目

2、N=2n当使能输入端EI为有效电平时,对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端则为相反电平(输入少输出多)。n个输入端:X0--Xn-12n个输出端:Y0--Y2n-11个使能输入端:EI……Y0X1Xn-1X0Y1Y2n-1EI二进制译码器(1)二进制译码器2、译码器的工作原理将1组2进制代码转换成1路输出信号代码输入输出输入使能2线-4线译码器的逻辑电路(分析)变量名LHHHHHLHLHHLHLHHLHHLLHHHLLLLHHHH××HY3Y2Y1Y0A0A1E输出输入功能表E-译码使能控制。低电平有效。E=1,译码器不工作。E=0,译码器工作。对

3、应输入的每一组代码,均有唯一的输出有效信号对应。输出低电平有效。译码器是通过输出端的逻辑电平以识别不同的代码。LHHHHHLHLHHLHLHHLHHLLHHHLLLLHHHH××HY3Y2Y1Y0A0A1E输出输入功能表由于2输入变量A1、A0共有4种不同状态组合,因而可译出4个输出信号Y0~Y3。常用集成译码器有:TTL器件(74LS139,74LS138,7448,7442等);CMOS器件(74HC139,74HC138,74HC4511)等。74LS139(74HC139):2线-4线译码器74LS138(74HC138):3线-8线译码器74HC4511:7段显示

4、译码器3、集成电路译码器将8421BCD码译成为10个状态输出。7442:4线-10线译码器引脚图逻辑图3个控制端3个输入端8个输出端(1)74HC138(74LS138)集成译码器E3A0A1A2110Y1Y12Y3Y1111&&&&&&&&1114Y5Y6Y7Y2E1E&&&&&&&&&3个控制端3个输入端8个输出端电路图74HC138A0A1E1A2E3E2Y0Y1Y2Y3Y4Y5Y6Y7逻辑符号说明:逻辑符号框外部的符号,表示外部输入或输出信号名称,字母上面的“—”号说明该输入或输出是低电平有效。74HC138集成译码器功能表LHHHHHHHHHHLLHHLHHHH

5、HHLHHLLHHHLHHHHHHLHLLHHHHLHHHHLLHLLHHHHHLHHHHHLLLHHHHHHLHHLHLLLHHHHHHHLHHLLLLHHHHHHHHLLLLLLHHHHHHHHH×××××LHHHHHHHH×××HX×HHHHHHHH××××H×A2E3输出输入A1A0当E3E2E1=100时,译码器工作。对于A2、A1、A0每一组取值,均有唯一的相应输出端输出有效低电平。当E3E2E1100时,译码器不工作。此时不论A2、A1、A0为何值,Y0Y7都输出无效高电平。LHHHHHHHHHHLLHHLHHHHHHLHHLLHHHLHHHHHHLHLL

6、HHHHLHHHHLLHLLHHHHHLHHHHHLLLHHHHHHLHHLHLLLHHHHHHHLHHLLLLHHHHHHHHLLLLLLHHHHHHHHH×××××LHHHHHHHH×××HX×HHHHHHHH××××H×A2E3输出输入A1A074138逻辑功能:4)一个3线–8线译码器能产生三变量函数的全部最小项。1)A2、A1、A0是译码器输入端.2)Y0Y7是译码器输出端。且低电平有效。3)E3、E2、E1为三个使能输入端,只有当它们分别为100,译码器才正常译码(对于A2A1A0每一组代码,均有唯一的相应输出端输出低电平,该输出为输入2进制代码A2A1A0对

7、应的最小项的非);否则不论A2A1A0为何值,Y0Y7都输出高电平。im=Yi编码-译码电路~3线–8线译码器的含三变量函数的全部最小项。Y0Y7基于这一点用该器件能够方便地实现三变量逻辑函数。--实现逻辑函数。...74HC138Y0Y1Y2Y3Y4Y5Y6Y7E3E2E1A0A1A2+5VY0Y1Y2Y3Y4Y5Y6Y7CBA当E3=1,E2=E1=0时im=Yi(2)译码器的应用例:用一片74HC138实现函数解:首先将函数式变换为最小项之和的形式在译码器的输出端加一个与非门,即可实现给定的组合逻辑函数.i

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。